rename PLL signals
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Tue, 25 May 2021 11:31:31 +0000 (12:31 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 26 May 2021 13:22:49 +0000 (14:22 +0100)
src/soc/clock/dummypll.py
src/soc/simple/issuer.py

index fd437ef1410ba05a00581dcf10e07527e7e14491..bd87659334ae64c2cf74679109bdfe757fae52a5 100644 (file)
@@ -21,7 +21,7 @@ class DummyPLL(Elaboratable):
             pll = Instance("pll", i_ref=self.clk_24_i,
                                   i_a0=self.clk_sel_i[0],
                                   i_a1=self.clk_sel_i[1],
-                                  o_out=self.clk_pll_o,
+                                  o_out_v=self.clk_pll_o,
                                   o_div_out_test=self.pll_test_o,
                                   o_vco_test_ana=self.pll_vco_o,
                            )
index 4e91952071a1f73ed7bb16252e090d5687e289ac..85e3cbd597d059154a8642e6b5f844a0d6b5d57d 100644 (file)
@@ -1233,6 +1233,7 @@ class TestIssuer(Elaboratable):
         self.pll_en = hasattr(pspec, "use_pll") and pspec.use_pll
         if self.pll_en:
             self.pll_test_o = Signal(reset_less=True)
+            self.pll_vco_o = Signal(reset_less=True)
             self.clk_sel_i = Signal(reset_less=True)
 
     def elaborate(self, platform):
@@ -1259,8 +1260,9 @@ class TestIssuer(Elaboratable):
             # wire up external 24mhz to PLL
             comb += pll.clk_24_i.eq(ClockSignal())
 
-            # output 18 mhz PLL test signal
+            # output 18 mhz PLL test signal, and analog oscillator out
             comb += self.pll_test_o.eq(pll.pll_test_o)
+            comb += self.pll_vco_o.eq(pll.pll_vco_o)
 
             # input to pll clock selection
             comb += pll.clk_sel_i.eq(self.clk_sel_i)
@@ -1290,7 +1292,7 @@ class TestIssuer(Elaboratable):
         if self.pll_en:
             ports.append(self.clk_sel_i)
             ports.append(self.pll_test_o)
-            ports.append(self.pll.pll_vco_o)
+            ports.append(self.pll_vco_o)
         return ports