add misaligned load through MMU (which is incorrectly succeeding without error)
[soc.git] / mypy.ini
2019-04-22 Jacob LifshayMerge remote-tracking branch 'origin/master'
2019-04-22 Jacob Lifshayadd mypy typechecker integration