Present the ALU result only when valid_o is active