Add count leading zeros module (should probably go somewhere else)