big convert g/s/r mid --> muxid
[ieee754fpu.git] / src /
2019-07-02 Luke Kenneth Casso... big convert g/s/r mid --> muxid
2019-07-02 Luke Kenneth Casso... convert fpdiv to pspec
2019-07-02 Luke Kenneth Casso... use new FPBaseData as a "spec" (context), initialised...
2019-07-01 Luke Kenneth Casso... add operand down pipeline chain
2019-07-01 Luke Kenneth Casso... found 64-bit MUL bug too
2019-07-01 Jacob Lifshayimplement fixed_rsqrt
2019-07-01 Jacob Lifshayimplement fixed_sqrt
2019-07-01 Jacob Lifshayadded tests for rest of Fixed
2019-06-30 Jacob Lifshayadd more tests
2019-06-29 Luke Kenneth Casso... update comment
2019-06-29 Luke Kenneth Casso... add comments
2019-06-29 Luke Kenneth Casso... comments
2019-06-29 Luke Kenneth Casso... add diagram explaining chain / pipe relationships
2019-06-29 Luke Kenneth Casso... update comments
2019-06-29 Luke Kenneth Casso... non-begin, non-end mode involves FPDivStage0Data
2019-06-29 Luke Kenneth Casso... put in place infrastructure for dropping in INT div...
2019-06-29 Jacob Lifshayfix names
2019-06-29 Jacob Lifshayadd Fract class
2019-06-29 Jacob Lifshayinteger division algorithm works
2019-06-28 Luke Kenneth Casso... add comments
2019-06-28 Luke Kenneth Casso... add comments on parameters
2019-06-28 Luke Kenneth Casso... add comments on parameters
2019-06-28 Luke Kenneth Casso... add comments on parameters
2019-06-28 Luke Kenneth Casso... quick debug session on FP div stub pipeline
2019-06-28 Luke Kenneth Casso... put in TODO divstages list
2019-06-28 Luke Kenneth Casso... whoops missed a cookie-cut rename
2019-06-28 Luke Kenneth Casso... add div1 and div2 cookie-cut with TODO messages
2019-06-28 Luke Kenneth Casso... add cookie-cut div0.py pipeline stage class
2019-06-28 Luke Kenneth Casso... add comment, link to bugreport
2019-06-28 Luke Kenneth Casso... add cookie-cut FPDIV
2019-06-28 Luke Kenneth Casso... add cookie-cut test_fpdiv_pipe.py
2019-06-28 Luke Kenneth Casso... add cookie-cut fpdiv pipeline.py
2019-06-28 Luke Kenneth Casso... remove unneeded imports
2019-06-28 Luke Kenneth Casso... add fpdiv specialcases
2019-06-16 Luke Kenneth Casso... move comment
2019-06-16 Luke Kenneth Casso... got fpdiv FSM operational
2019-06-16 Luke Kenneth Casso... bug, 0xe225 0x8181 0x249f returns 0x249e
2019-06-16 Luke Kenneth Casso... fpmul specialcase, nan x nan returns 0 nan
2019-06-16 Luke Kenneth Casso... get fp mul pipe working using new FPNumBaseRecord
2019-06-16 Luke Kenneth Casso... make overflow roundz a property (Overflow no longer...
2019-06-16 Luke Kenneth Casso... fix up FPNumBase by creating a Record class (not derive...
2019-06-16 Luke Kenneth Casso... reduce max count from 1000 to 10
2019-06-16 Luke Kenneth Casso... add in missing modules
2019-06-16 Luke Kenneth Casso... switch off specallocate in fpadd statemachine
2019-06-16 Luke Kenneth Casso... add elaboratables
2019-06-16 Luke Kenneth Casso... create FPNumBaseRecord
2019-06-16 Luke Kenneth Casso... whitespace
2019-06-16 Luke Kenneth Casso... elaboratable cases
2019-05-29 Luke Kenneth Casso... allow SRLatch to be multibit
2019-05-29 Luke Kenneth Casso... use boolean version of SRLatch, suitable for multi...
2019-05-24 Luke Kenneth Casso... make qlq output q | q_int
2019-05-24 Luke Kenneth Casso... put internal state out as part of latch api
2019-05-12 Luke Kenneth Casso... add comments on convenience names
2019-05-11 Luke Kenneth Casso... add helper routine for creating latched registers
2019-05-11 Luke Kenneth Casso... add a jk latch (as a comment), TODO
2019-05-08 Aleksandar KostovicFix exponent offsets
2019-05-08 Aleksandar KostovicRemoved the errors made in previous commit
2019-05-08 Luke Kenneth Casso... correct name on RecordObject
2019-05-07 Aleksandar KostovicUpdated Inf and NaN parts of normalise function
2019-05-07 Luke Kenneth Casso... moving scoreboard code to soc repo
2019-05-07 Luke Kenneth Casso... add combined int/fp issue unit
2019-05-07 Luke Kenneth Casso... corrections to ld-st cell and sparse-matrix
2019-05-07 Luke Kenneth Casso... add some comments to fsqrt
2019-05-06 Luke Kenneth Casso... add issue unit
2019-05-06 Aleksandar KostovicAdded secial cases for normalization function
2019-05-06 Luke Kenneth Casso... generalise the function unit module, create some deriva...
2019-05-06 Luke Kenneth Casso... provide array-selector on inputs
2019-05-06 Luke Kenneth Casso... update notes, dest/src1/src2 are BINARY encoded
2019-05-06 Luke Kenneth Casso... add global pending collator
2019-05-06 Luke Kenneth Casso... use shadow submodule instead of in fn unit
2019-05-06 Luke Kenneth Casso... whoops duplicate signal
2019-05-06 Luke Kenneth Casso... add shadow_fn.py, split out from function unit
2019-05-06 Luke Kenneth Casso... add comments
2019-05-06 Luke Kenneth Casso... LD/ST latches are async (clockless)
2019-05-06 Luke Kenneth Casso... update docstrings
2019-05-06 Luke Kenneth Casso... put OR-accumulator in LD/ST dep cell for ld-hold-st...
2019-05-06 Luke Kenneth Casso... add LD/ST Matrix (actually sparse matrix, aka straight...
2019-05-06 Luke Kenneth Casso... add LD/ST dependency cell
2019-05-06 Luke Kenneth Casso... add comment back in about load/store shadow-hold
2019-05-05 Luke Kenneth Casso... slightly simplify SRlatch
2019-05-05 Luke Kenneth Casso... add shadow capability to function unit
2019-05-05 Luke Kenneth Casso... add SRlatch async mode
2019-05-05 Luke Kenneth Casso... add group picker
2019-05-05 Luke Kenneth Casso... add notes
2019-05-05 Luke Kenneth Casso... correct page num and section num
2019-05-05 Luke Kenneth Casso... add integer function unit
2019-05-04 Luke Kenneth Casso... minor reorg of latch
2019-05-04 Luke Kenneth Casso... add FU-FU Dependency Matrix
2019-05-04 Luke Kenneth Casso... add function unit dependency cell
2019-05-04 Luke Kenneth Casso... add function unit picker vector
2019-05-04 Luke Kenneth Casso... dependency matrix (Reg to FU)
2019-05-04 Luke Kenneth Casso... add function unit read/write pending
2019-05-04 Luke Kenneth Casso... add regfile selection
2019-05-03 Luke Kenneth Casso... add dependence cell scoreboard from 11.4.7
2019-05-03 Luke Kenneth Casso... add (synchronous) latch
2019-05-03 Luke Kenneth Casso... fix up fadd state machine
2019-05-03 Luke Kenneth Casso... add test on fp16/32/64 for mul and div
2019-05-03 Luke Kenneth Casso... split out InputTest code into separate fpmux.py
2019-05-03 Luke Kenneth Casso... got fpmul working (potential bug in softfloat NaN handling)
2019-05-03 Luke Kenneth Casso... fix multiply bit-width
next