update deadlinks
authorTobias Platen <tplaten@posteo.de>
Sat, 22 Jan 2022 13:06:17 +0000 (14:06 +0100)
committerTobias Platen <tplaten@posteo.de>
Sat, 22 Jan 2022 13:06:17 +0000 (14:06 +0100)
3d_gpu/tutorial.mdwn
HDL_workflow.mdwn
HDL_workflow/coriolis2.mdwn
HDL_workflow/symbiflow.mdwn

index 67461689dda2e95facc4026ff8e5d452328338f5..793eef3e020ed22ca40926f35a37ca672d7b8024 100644 (file)
@@ -198,7 +198,7 @@ graphs, you absolutely **must** store partial results of combinatorial
 blocks in registers (with sync) *before* passing those partial results
 back into more (or the same) combinatorial blocks.
 
-* http://www.clifford.at/yosys/cmd_proc.html
+* https://github.com/YosysHQ/yosys
 
 # verilog
 
index c82b9e32b077a9aaeb80422f2840498af16113c0..4a4221714feb42776a27f0e8341a65b5e4e2aee4 100644 (file)
@@ -344,7 +344,7 @@ They should not however be considered "routine".
 
 Follow the source code (git clone) instructions here, do **not** use
 the "stable" version (do not download the tarball):
-<http://www.clifford.at/yosys/download.html>
+<https://github.com/YosysHQ/yosys>
 
 Or, alternatively, use the
 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
@@ -353,7 +353,7 @@ script (which also installs symbiyosys and its dependencies)
 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
 evolving and frequently interacts with yosys.
 
-[Yosys](http://www.clifford.at/yosys/) is a framework for Verilog RTL.
+[Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
 language.
 RTL [Register Transfer
index 101d91ff95f805359df115cd6147c633dbb4202e..95c51a9add19657f2caef259c9afc99d48045bad 100644 (file)
@@ -160,10 +160,10 @@ As root, in the chroot, run the following:
 This will remove debian/buster yosys however getting the build dependencies is quick and easy enough.
 
 As the ordinary user, the following instructions can be followed
-(<http://www.clifford.at/yosys/download.html>)
+(<https://github.com/YosysHQ/yosys>)
 
     cd ~
-    git clone https://github.com/cliffordwolf/yosys.git
+    git clone https://github.com/YosysHQ/yosys
     cd yosys
     git checkout 049e3abf9baf795e69b9ecb9c4f19de6131f8418
     make config-clang
index 12cd38938773a799e86fb60c2f0f042d157aaf02..6d7a0f9db6c17b51252029b7e6d893091df13fdc 100644 (file)
@@ -53,7 +53,7 @@ auto-preparation script here:
     git clone https://github.com/YosysHQ/abc.git
     git clone https://github.com/oneapi-src/oneTBB.git
     git clone https://github.com/verilog-to-routing/vtr-verilog-to-routing.git
-    git clone https://github.com/cliffordwolf/icestorm.git
+    git clone https://github.com/yosyshq/icestorm.git
     git clone https://github.com/SymbiFlow/prjxray.git
     git clone https://github.com/SymbiFlow/prjxray-db.git
     git clone https://github.com/SymbiFlow/yosys-symbiflow-plugins.git