Finish the basic lesson by opening the generated file in GTKWave
authorCesar_Strauss <Cesar_Strauss@web>
Sun, 6 Sep 2020 21:43:14 +0000 (22:43 +0100)
committerIkiWiki <ikiwiki.info>
Sun, 6 Sep 2020 21:43:14 +0000 (22:43 +0100)
Documentation/gtkwave_tutorial.mdwn

index 8a99b4be29bd004818a86a5c9748c8f084a55e29..b127ee9b2166edad7f00343d9c23d6b160c2a9be 100644 (file)
@@ -64,6 +64,23 @@ Remarks:
 3. ``traces`` is a list of trace names
 4. ``top.shf`` is the hierarchy path of the module
 
+Now try:
+
+    gtkwave simple.gtkw
+
+Notice:
+
+1. No need to press the "zoom to fit" button. The default zoom level is
+adequate for a 1 MHz clock.
+2. If you made a mistake, there will be no warning. The trace will
+simply not appear
+3. The reload button will only reload the VCD file, not the GTKW document. If you regenerate the document, you need to close and open a
+new tab, or exit GTKWave and run again: ``gtkwave simple.gtkw``
+4. If you feel tired of seeing the GTKWave splash window every time,
+do: ``echo splash_disable 1 >> ~/.gtkwaverc``
+5. If you modify the document manually, better to save it with another
+name
+
 # New signals at simulation time
 
 At simulation time, you can declare a new signal, and use it inside