sidebar
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 10 Mar 2021 13:01:05 +0000 (13:01 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Wed, 10 Mar 2021 13:01:05 +0000 (13:01 +0000)
sidebar.mdwn

index cd795d73e05aa2d9b5b07771f0676de38b2b5227..87d10afac5b0aaebaa9019a01e9e10beb24434ff 100644 (file)
@@ -4,7 +4,7 @@
 | [Updates][9]          |
 | [[conferences]]                |
 | [[HDL_workflow]]               |
-| [Simple-V OpenPOWER Draft Extension](/openpower/sv/) |
+| [Simple-V OpenPOWER Draft](/openpower/sv/) |
 | [Documentation](/Documentation/index) |
 | [Bugs and Tasks][2]            |
 | [Mailing Lists][3]             |
@@ -15,7 +15,7 @@
 | [[shakti/m_class]]             |
 | [3D GPU](/3d_gpu/)              |
 | [VPU](/vpu/)                    |
-| [Architecture](/3d_gpu/architecture/) |
+| [Micro-Architecture](/3d_gpu/architecture/) |
 | [[simple_v_extension]]         |
 | [[future_feature_proposals]]   |
 | [[standards]]                  |