comments on DMI interface
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 22 Apr 2021 00:43:51 +0000 (01:43 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 22 Apr 2021 00:43:51 +0000 (01:43 +0100)
sim.py

diff --git a/sim.py b/sim.py
index 2dd1874d74aa5f5653778e2fef54262508bff2b2..8cddb39c6d2a5509bde635e2987055264c423b84 100755 (executable)
--- a/sim.py
+++ b/sim.py
@@ -1,5 +1,12 @@
 #!/usr/bin/env python3
 
+# Notes for "Debug" mode:
+# both microwatt and Libre-SOC implement (pretty much) the same DMI
+# interface.  TBD: really, there should be an OPF Debug SIG which
+# defines this properly. still, these two are interoperable.
+# https://git.libre-soc.org/?p=soc.git;a=blob;f=src/soc/debug/dmi.py
+# https://github.com/antonblanchard/microwatt/blob/master/core_debug.vhdl
+
 import os
 import argparse