Add Tercel PHY reset synchronization
[microwatt.git] / glibc_random_helpers.vhdl
1 package glibc_random_helpers is
2 procedure srand (v : integer);
3 attribute foreign of srand : procedure is "VHPIDIRECT srand";
4
5 function random return integer;
6 attribute foreign of random : function is "VHPIDIRECT random";
7 end glibc_random_helpers;
8
9 package body glibc_random_helpers is
10 procedure srand (v : integer) is
11 begin
12 assert false severity failure;
13 end srand;
14
15 function random return integer is
16 begin
17 assert false severity failure;
18 end random;
19 end glibc_random_helpers;