Add Tercel PHY reset synchronization
[microwatt.git] / sim_vhpi_c.h
1 #include <stdint.h>
2
3 #define vhpi0 2 /* forcing 0 */
4 #define vhpi1 3 /* forcing 1 */
5
6 char *from_string(void *__p);
7
8 uint64_t from_std_logic_vector(unsigned char *p, unsigned long len);
9
10 void to_std_logic_vector(unsigned long val, unsigned char *p,
11 unsigned long len);