yosys: Use read_verilog
authorJoel Stanley <joel@jms.id.au>
Mon, 21 Feb 2022 13:56:25 +0000 (07:56 -0600)
committerRaptor Engineering Development Team <support@raptorengineering.com>
Tue, 22 Feb 2022 21:03:48 +0000 (15:03 -0600)
Yosys changed it's command line behaviour following the v0.13 release.
Work around this by using read_verilog, which maintains the old
behaviour.

This should work fine for current yosys and be compatible with
future releases.

See https://github.com/YosysHQ/yosys/issues/3109

Signed-off-by: Joel Stanley <joel@jms.id.au>
Makefile

index 2d34627f9431757b8fe8ea9aeb53fbf0c6d45327..e2783a2af80c5699404ae3232c31ee5adf0e997b 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -192,7 +192,7 @@ fpga_files = fpga/soc_reset.vhdl \
 synth_files = $(core_files) $(soc_files) $(fpga_files) $(clkgen) $(toplevel) $(dmi_dtm)
 
 microwatt.json: $(synth_files) $(RAM_INIT_FILE)
-       $(YOSYS) -m $(GHDLSYNTH) -p "ghdl --std=08 --no-formal $(GHDL_IMAGE_GENERICS) $(synth_files) -e toplevel; synth_ecp5 -json $@  $(SYNTH_ECP5_FLAGS)" $(uart_files)
+       $(YOSYS) -m $(GHDLSYNTH) -p "ghdl --std=08 --no-formal $(GHDL_IMAGE_GENERICS) $(synth_files) -e toplevel; read_verilog $(uart_files) $(soc_extra_v); synth_ecp5 -json $@  $(SYNTH_ECP5_FLAGS)" $(uart_files)
 
 microwatt.v: $(synth_files) $(RAM_INIT_FILE)
        $(YOSYS) -m $(GHDLSYNTH) -p "ghdl --std=08 --no-formal $(GHDL_IMAGE_GENERICS) $(synth_files) -e toplevel; write_verilog $@"