speed up ==, hash, <, >, <=, and >= for plain_data
[nmutil.git] / src / nmutil / queue.py
1 # Copyright (c) 2014 - 2019 The Regents of the University of
2 # California (Regents). All Rights Reserved. Redistribution and use in
3 # source and binary forms, with or without modification, are permitted
4 # provided that the following conditions are met:
5 # * Redistributions of source code must retain the above
6 # copyright notice, this list of conditions and the following
7 # two paragraphs of disclaimer.
8 # * Redistributions in binary form must reproduce the above
9 # copyright notice, this list of conditions and the following
10 # two paragraphs of disclaimer in the documentation and/or other materials
11 # provided with the distribution.
12 # * Neither the name of the Regents nor the names of its contributors
13 # may be used to endorse or promote products derived from this
14 # software without specific prior written permission.
15 # IN NO EVENT SHALL REGENTS BE LIABLE TO ANY PARTY FOR DIRECT, INDIRECT,
16 # SPECIAL, INCIDENTAL, OR CONSEQUENTIAL DAMAGES, INCLUDING LOST PROFITS,
17 # ARISING OUT OF THE USE OF THIS SOFTWARE AND ITS DOCUMENTATION, EVEN IF
18 # REGENTS HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
19 # REGENTS SPECIFICALLY DISCLAIMS ANY WARRANTIES, INCLUDING, BUT NOT
20 # LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR
21 # A PARTICULAR PURPOSE. THE SOFTWARE AND ACCOMPANYING DOCUMENTATION, IF
22 # ANY, PROVIDED HEREUNDER IS PROVIDED "AS IS". REGENTS HAS NO OBLIGATION
23 # TO PROVIDE MAINTENANCE, SUPPORT, UPDATES, ENHANCEMENTS, OR
24 # MODIFICATIONS.
25
26 from nmigen import Module, Signal, Mux, Elaboratable, Memory
27 from nmigen.utils import bits_for
28 from nmigen.cli import main
29 from nmigen.lib.fifo import FIFOInterface
30
31 # translated from https://github.com/freechipsproject/chisel3/blob/a4a29e29c3f1eed18f851dcf10bdc845571dfcb6/src/main/scala/chisel3/util/Decoupled.scala#L185 # noqa
32
33
34 class Queue(FIFOInterface, Elaboratable):
35 def __init__(self, width, depth, fwft=True, pipe=False):
36 """ Queue (FIFO) with pipe mode and first-write fall-through capability
37
38 * :width: width of Queue data in/out
39 * :depth: queue depth. NOTE: may be set to 0 (this is ok)
40 * :fwft : first-write, fall-through mode (Chisel Queue "flow" mode)
41 * :pipe : pipe mode. NOTE: this mode can cause unanticipated
42 problems. when read is enabled, so is w_rdy.
43 therefore if read is enabled, the data ABSOLUTELY MUST
44 be read.
45
46 fwft mode = True basically means that the data may be transferred
47 combinatorially from input to output.
48
49 Attributes:
50 * level: available free space (number of unread entries)
51
52 w_data = enq_data, w_rdy = enq_ready, w_en = enq_valid
53 r_data = deq_data, r_en = deq_ready, r_rdy = deq_valid
54 """
55 FIFOInterface.__init__(self, width=width, depth=depth, fwft=fwft)
56 self.pipe = pipe
57 self.depth = depth
58 self.level = Signal(bits_for(depth))
59
60 def elaborate(self, platform):
61 m = Module()
62
63 # set up an SRAM. XXX bug in Memory: cannot create SRAM of depth 1
64 ram = Memory(width=self.width,
65 depth=self.depth if self.depth > 1 else 2)
66 m.submodules.ram_read = ram_read = ram.read_port(domain="comb")
67 m.submodules.ram_write = ram_write = ram.write_port()
68
69 # convenience names, for people familiar with ready/valid terminology
70 # "p" stands for "previous stage", "n" stands for "next stage"
71 # for people familiar with the chisel Decoupled library:
72 # enq is "enqueue" (data in, aka "prev stage"),
73 # deq is "dequeue" (data out, aka "next stage")
74 p_o_ready = self.w_rdy
75 p_i_valid = self.w_en
76 enq_data = self.w_data # aka p_i_data
77
78 n_o_valid = self.r_rdy
79 n_i_ready = self.r_en
80 deq_data = self.r_data # aka n_o_data
81
82 # intermediaries
83 ptr_width = bits_for(self.depth - 1) if self.depth > 1 else 0
84 # cyclic pointer to "insert" point (wrport)
85 enq_ptr = Signal(ptr_width)
86 # cyclic pointer to "remove" point (rdport)
87 deq_ptr = Signal(ptr_width)
88 maybe_full = Signal() # not reset_less (set by sync)
89
90 # temporaries
91 do_enq = Signal(reset_less=True)
92 do_deq = Signal(reset_less=True)
93 ptr_diff = Signal(ptr_width)
94 ptr_match = Signal(reset_less=True)
95 empty = Signal(reset_less=True)
96 full = Signal(reset_less=True)
97 enq_max = Signal(reset_less=True)
98 deq_max = Signal(reset_less=True)
99
100 m.d.comb += [ptr_match.eq(enq_ptr == deq_ptr), # read-ptr = write-ptr
101 ptr_diff.eq(enq_ptr - deq_ptr),
102 enq_max.eq(enq_ptr == self.depth - 1),
103 deq_max.eq(deq_ptr == self.depth - 1),
104 empty.eq(ptr_match & ~maybe_full),
105 full.eq(ptr_match & maybe_full),
106 do_enq.eq(p_o_ready & p_i_valid), # write conditions ok
107 do_deq.eq(n_i_ready & n_o_valid), # read conditions ok
108
109 # set r_rdy and w_rdy (NOTE: see pipe mode below)
110 n_o_valid.eq(~empty), # cannot read if empty!
111 p_o_ready.eq(~full), # cannot write if full!
112
113 # set up memory and connect to input and output
114 ram_write.addr.eq(enq_ptr),
115 ram_write.data.eq(enq_data),
116 ram_write.en.eq(do_enq),
117 ram_read.addr.eq(deq_ptr),
118 # NOTE: overridden in fwft mode
119 deq_data.eq(ram_read.data)
120 ]
121
122 # under write conditions, SRAM write-pointer moves on next clock
123 with m.If(do_enq):
124 m.d.sync += enq_ptr.eq(Mux(enq_max, 0, enq_ptr+1))
125
126 # under read conditions, SRAM read-pointer moves on next clock
127 with m.If(do_deq):
128 m.d.sync += deq_ptr.eq(Mux(deq_max, 0, deq_ptr+1))
129
130 # if read-but-not-write or write-but-not-read, maybe_full set
131 with m.If(do_enq != do_deq):
132 m.d.sync += maybe_full.eq(do_enq)
133
134 # first-word fall-through: same as "flow" parameter in Chisel3 Queue
135 # basically instead of relying on the Memory characteristics (which
136 # in FPGAs do not have write-through), then when the queue is empty
137 # take the output directly from the input, i.e. *bypass* the SRAM.
138 # this done combinatorially to give the exact same characteristics
139 # as Memory "write-through"... without relying on a changing API
140 if self.fwft:
141 with m.If(p_i_valid):
142 m.d.comb += n_o_valid.eq(1)
143 with m.If(empty):
144 m.d.comb += deq_data.eq(enq_data)
145 m.d.comb += do_deq.eq(0)
146 with m.If(n_i_ready):
147 m.d.comb += do_enq.eq(0)
148
149 # pipe mode: if next stage says it's ready (r_rdy), w_en
150 # *must* declare the input ready (w_rdy).
151 if self.pipe:
152 with m.If(n_i_ready):
153 m.d.comb += p_o_ready.eq(1)
154
155 # set the count (available free space), optimise on power-of-two
156 if self.depth == 1 << ptr_width: # is depth a power of 2
157 m.d.comb += self.level.eq(
158 Mux(maybe_full & ptr_match, self.depth, 0) | ptr_diff)
159 else:
160 m.d.comb += self.level.eq(Mux(ptr_match,
161 Mux(maybe_full, self.depth, 0),
162 Mux(deq_ptr > enq_ptr,
163 self.depth + ptr_diff,
164 ptr_diff)))
165
166 return m
167
168
169 if __name__ == "__main__":
170 reg_stage = Queue(1, 1, pipe=True)
171 break_ready_chain_stage = Queue(1, 1, pipe=True, fwft=True)
172 m = Module()
173 ports = []
174
175 def queue_ports(queue, name_prefix):
176 retval = []
177 for name in ["level",
178 "r_data",
179 "r_rdy",
180 "w_rdy"]:
181 port = getattr(queue, name)
182 signal = Signal(port.shape(), name=name_prefix+name)
183 m.d.comb += signal.eq(port)
184 retval.append(signal)
185 for name in ["r_en",
186 "w_data",
187 "w_en"]:
188 port = getattr(queue, name)
189 signal = Signal(port.shape(), name=name_prefix+name)
190 m.d.comb += port.eq(signal)
191 retval.append(signal)
192 return retval
193
194 m.submodules.reg_stage = reg_stage
195 ports += queue_ports(reg_stage, "reg_stage_")
196 m.submodules.break_ready_chain_stage = break_ready_chain_stage
197 ports += queue_ports(break_ready_chain_stage, "break_ready_chain_stage_")
198 main(m, ports=ports)