add emmc to slow_peripherals
[pinmux.git] / src / bsv / Makefile.template
1 ### Makefile for the cclass project
2
3 TOP_MODULE:=mkSoc
4 TOP_FILE:=socgen.bsv
5 TOP_DIR:=./
6 WORKING_DIR := $(shell pwd)
7
8 BSVINCDIR:= .:%/Prelude:%/Libraries:%/Libraries/BlueNoC:./bsv_lib/
9 BSVINCDIR:= $(BSVINCDIR):../../../src/core/src/core
10 BSVINCDIR:= $(BSVINCDIR):../../../src/core/src/core/fpu
11 BSVINCDIR:= $(BSVINCDIR):../../../src/core/src/lib
12 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/core
13 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4
14 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/axi4lite
15 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/uncore/debug
16 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/lib
17 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/gpio
18 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/rgbttl
19 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/i2c
20 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/mux
21 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/plic
22 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/clint
23 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/sdram
24 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/pwm
25 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/qspi
26 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/spi
27 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/sdmmc
28 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/flexbus
29 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/jtagdtm
30 BSVINCDIR:= $(BSVINCDIR):../../../src/peripherals/src/peripherals/uart
31
32 default: gen_verilog
33
34 check-blue:
35 @if test -z "$$BLUESPECDIR"; then echo "BLUESPECDIR variable not set"; exit 1; fi;
36
37 ###### Setting the variables for bluespec compile #$############################
38 BSVCOMPILEOPTS:= -check-assert -suppress-warnings G0020 -keep-fires -opt-undetermined-vals -remove-false-rules -remove-empty-rules -remove-starved-rules
39 BSVLINKOPTS:=-parallel-sim-link 8 -keep-fires
40 VERILOGDIR:=./verilog/
41 BSVBUILDDIR:=./bsv_build/
42 BSVOUTDIR:=./bin
43 ################################################################################
44
45 ########## BSIM COMPILE, LINK AND SIMULATE TARGETS ##########################
46 .PHONY: check-restore
47 check-restore:
48 @if [ "$(define_macros)" != "$(old_define_macros)" ]; then make clean ; fi;
49
50 .PHONY: gen_verilog
51 gen_verilog: check-restore check-blue
52 @echo Compiling mkTbSoc in Verilog for simulations ...
53 @mkdir -p $(BSVBUILDDIR);
54 @mkdir -p $(VERILOGDIR);
55 bsc -u -verilog -elab -vdir $(VERILOGDIR) -bdir $(BSVBUILDDIR) \
56 -info-dir $(BSVBUILDDIR) $(define_macros) \
57 -D RV64=True -D muldiv=True -D sequential=True \
58 -D atomic=True -D spfpu=True -D dpfpu=True \
59 -D bpu=True -D MMU=True -D perf=True \
60 -D prefetch=True -D CLINT=True \
61 -D simulate=True -D SDRAM=True \
62 -D GPIO_MUX=True \
63 -D verilog=True $(BSVCOMPILEOPTS) -verilog-filter \
64 ${BLUESPECDIR}/bin/basicinout -p $(BSVINCDIR) \
65 -g $(TOP_MODULE) $(TOP_DIR)/$(TOP_FILE) \
66 2>&1 | tee bsv_compile.log
67 @echo Compilation finished
68
69 #############################################################################
70
71 .PHONY: clean
72 clean:
73 rm -rf $(BSVBUILDDIR) *.log $(BSVOUTDIR) ./bbl*
74 rm -rf verilog obj_dir bsv_src