the assignment of a wire from an input configured pin must happen through individual...
[pinmux.git] / src / bsv / actual_pinmux.py
1 from string import digits
2 try:
3 from string import maketrans
4 except ImportError:
5 maketrans = str.maketrans
6
7
8 # ============== common bsv templates ============ #
9 # first argument is the io-cell number being assigned.
10 # second argument is the mux value.
11 # Third argument is the signal from the pinmap file
12 mux_wire = '''
13 rule assign_{2}_on_cell{0}(wrcell{0}_mux=={1});
14 {2}<=cell{0}_mux_in;
15 endrule
16 '''
17 dedicated_wire = '''
18 rule assign_{1}_on_cell{0};
19 {1}<=cell{0}_mux_in;
20 endrule
21 '''
22 # ============================================================
23 digits = maketrans('0123456789', ' ' * 10) # delete space later
24
25
26 def cn(idx):
27 return "cell%s_mux" % str(idx)
28
29
30 def transfn(temp):
31 temp = temp.split('_')
32 if len(temp) == 2:
33 temp[0] = temp[0].translate(digits)
34 temp[0] = temp[0] .replace(' ', '')
35 return '_'.join(temp)
36
37
38 def init(p, ifaces):
39 p.pinmux = ' '
40 global dedicated_wire
41 for cell in p.muxed_cells:
42 p.pinmux += " %s_out=" % cn(cell[0])
43 for i in range(0, len(cell) - 2):
44 p.pinmux += "wr%s" % cn(cell[0]) + \
45 "==" + str(i) + "?" + cell[i + 1] + "_io:\n\t\t\t"
46 p.pinmux += cell[i + 2] + "_io"
47 p.pinmux += ";\n"
48 # ======================================================== #
49
50 # check each cell if "peripheral input/inout" then assign its wire
51 # Here we check the direction of each signal in the dictionary.
52 # We choose to keep the dictionary within the code and not user-input
53 # since the interfaces are always standard and cannot change from
54 # user-to-user. Plus this also reduces human-error as well :)
55 for i in range(0, len(cell) - 1):
56 cname = cell[i + 1]
57 temp = transfn(cname)
58 x = ifaces.getifacetype(temp)
59 #print (cname, temp, x)
60 assert x is not None, "ERROR: The signal : " + \
61 str(cname) + \
62 " of pinmap.txt isn't present \nin the current" + \
63 " dictionary. Update dictionary or fix-typo."
64 if x == "input":
65 p.pinmux += \
66 mux_wire.format(cell[0], i, "wr" + cname) + "\n"
67 elif x == "inout":
68 p.pinmux += \
69 mux_wire.format(cell[0], i, "wr" + cname +
70 "_in") + "\n"
71 # ============================================================ #
72
73 # ================== Logic for dedicated pins ========= #
74 for cell in p.dedicated_cells:
75 p.pinmux += " %s_out=%s_io;\n" % (cn(cell[0]), cell[1])
76 temp = cell[1].translate(digits)
77 x = ifaces.getifacetype(temp)
78 if x == "input":
79 pinmux = pinmux + \
80 dedicated_wire.format(cell[0], "wr" + cell[1]) + "\n"
81 elif x == "inout":
82 pinmux = pinmux + \
83 dedicated_wire.format(cell[0], "wr" + cell[1] + "_in") + "\n"
84 # =======================================================#