ae081f7c1fde39671125d5f80735c61e5951cb14
[pinmux.git] / src / bsv / pinmux_generator.py
1 # ================================== Steps to add peripherals ============
2 # Step-1: create interface declaration for the peripheral to be added.
3 # Remember these are interfaces defined for the pinmux and hence
4 # will be opposite to those defined at the peripheral.
5 # For eg. the output TX from the UART will be input (method Action)
6 # for the pinmux.
7 # These changes will have to be done in interface_decl.py
8 # Step-2 define the wires that will be required to transfer data from the
9 # peripheral interface to the IO cell and vice-versa. Create a
10 # mkDWire for each input/output between the peripheral and the
11 # pinmux. Also create an implicit wire of GenericIOType for each cell
12 # that can be connected to a each bit from the peripheral.
13 # These changes will have to be done in wire_def.py
14 # Step-3: create the definitions for each of the methods defined above.
15 # These changes will have to be done in interface_decl.py
16 # ========================================================================
17
18 # default module imports
19 import shutil
20 import os
21 import os.path
22 import time
23
24 # project module imports
25 from bsv.interface_decl import Interfaces, mux_interface, io_interface
26 from parse import Parse
27 from bsv.actual_pinmux import init
28 from bsv.bus_transactors import axi4_lite
29
30 copyright = '''
31 /*
32 This BSV file has been generated by the PinMux tool available at:
33 https://bitbucket.org/casl/pinmux.
34
35 Authors: Neel Gala, Luke
36 Date of generation: ''' + time.strftime("%c") + '''
37 */
38 '''
39 header = copyright + '''
40 package pinmux;
41
42 '''
43 footer = '''
44 endmodule
45 endpackage
46 '''
47
48
49 def pinmuxgen(pth=None, verify=True):
50 """ populating the file with the code
51 """
52
53 p = Parse(pth, verify)
54 iocells = Interfaces()
55 iocells.ifaceadd('io', p.N_IO, io_interface, 0)
56 ifaces = Interfaces(pth)
57 #ifaces.ifaceadd('io', p.N_IO, io_interface, 0)
58 init(p, ifaces)
59
60 bp = 'bsv_src'
61 if pth:
62 bp = os.path.join(pth, bp)
63 if not os.path.exists(bp):
64 os.makedirs(bp)
65 bl = os.path.join(bp, 'bsv_lib')
66 if not os.path.exists(bl):
67 os.makedirs(bl)
68
69 cwd = os.path.split(__file__)[0]
70
71 # copy over template and library files
72 shutil.copyfile(os.path.join(cwd, 'Makefile.template'),
73 os.path.join(bp, 'Makefile'))
74 cwd = os.path.join(cwd, 'bsv_lib')
75 for fname in ['AXI4_Lite_Types.bsv', 'Semi_FIFOF.bsv']:
76 shutil.copyfile(os.path.join(cwd, fname),
77 os.path.join(bl, fname))
78
79 bus = os.path.join(bp, 'busenable.bsv')
80 pmp = os.path.join(bp, 'pinmux.bsv')
81 ptp = os.path.join(bp, 'PinTop.bsv')
82 bvp = os.path.join(bp, 'bus.bsv')
83
84 write_pmp(pmp, p, ifaces, iocells)
85 write_ptp(ptp, p, ifaces)
86 write_bvp(bvp, p, ifaces)
87 write_bus(bus, p, ifaces)
88
89
90 def write_bus(bus, p, ifaces):
91 # package and interface declaration followed by
92 # the generic io_cell definition
93 with open(bus, "w") as bsv_file:
94 ifaces.busfmt(bsv_file)
95
96
97 def write_pmp(pmp, p, ifaces, iocells):
98 # package and interface declaration followed by
99 # the generic io_cell definition
100 with open(pmp, "w") as bsv_file:
101 bsv_file.write(header)
102
103 cell_bit_width = 'Bit#(%d)' % p.cell_bitwidth
104 bsv_file.write('''\
105 interface MuxSelectionLines;
106
107 // declare the method which will capture the user pin-mux
108 // selection values.The width of the input is dependent on the number
109 // of muxes happening per IO. For now we have a generalized width
110 // where each IO will have the same number of muxes.''')
111
112 for cell in p.muxed_cells:
113 bsv_file.write(mux_interface.ifacefmt(cell[0], cell_bit_width))
114
115 bsv_file.write("\n endinterface\n")
116
117 bsv_file.write('''
118
119 interface IOCellSide;
120 // declare the interface to the IO cells.
121 // Each IO cell will have 1 input field (output from pin mux)
122 // and an output and out-enable field (input to pinmux)''')
123
124 # == create method definitions for all iocell interfaces ==#
125 iocells.ifacefmt(bsv_file)
126
127 # ===== finish interface definition and start module definition=======
128 bsv_file.write("\n endinterface\n")
129
130 # ===== io cell definition =======
131 bsv_file.write('''
132
133 interface PeripheralSide;
134 // declare the interface to the peripherals
135 // Each peripheral's function will be either an input, output
136 // or be bi-directional. an input field will be an output from the
137 // peripheral and an output field will be an input to the peripheral.
138 // Bi-directional functions also have an output-enable (which
139 // again comes *in* from the peripheral)''')
140 # ==============================================================
141
142 # == create method definitions for all peripheral interfaces ==#
143 ifaces.ifacefmt(bsv_file)
144 bsv_file.write("\n endinterface\n")
145
146 # ===== finish interface definition and start module definition=======
147 bsv_file.write('''
148
149 interface Ifc_pinmux;
150 // this interface controls how each IO cell is routed. setting
151 // any given IO cell's mux control value will result in redirection
152 // of not just the input or output to different peripheral functions
153 // but also the *direction* control - if appropriate - as well.
154 interface MuxSelectionLines mux_lines;
155
156 // this interface contains the inputs, outputs and direction-control
157 // lines for all peripherals. GPIO is considered to also be just
158 // a peripheral because it also has in, out and direction-control.
159 interface PeripheralSide peripheral_side;
160
161 // this interface is to be linked to the individual IO cells.
162 // if looking at a "non-muxed" GPIO design, basically the
163 // IO cell input, output and direction-control wires are cut
164 // (giving six pairs of dangling wires, named left and right)
165 // these iocells are routed in their place on one side ("left")
166 // and the matching *GPIO* peripheral interfaces in/out/dir
167 // connect to the OTHER side ("right"). the result is that
168 // the muxer settings end up controlling the routing of where
169 // the I/O from the IOcell actually goes.
170 interface IOCellSide iocell_side;
171 endinterface
172 (*synthesize*)
173 module mkpinmux(Ifc_pinmux);
174 ''')
175 # ====================================================================
176
177 # ======================= create wire and registers =================#
178 bsv_file.write('''
179 // the followins wires capture the pin-mux selection
180 // values for each mux assigned to a CELL
181 ''')
182 for cell in p.muxed_cells:
183 bsv_file.write(mux_interface.wirefmt(
184 cell[0], cell_bit_width))
185
186 iocells.wirefmt(bsv_file)
187 ifaces.wirefmt(bsv_file)
188
189 bsv_file.write("\n")
190 # ====================================================================
191 # ========================= Actual pinmuxing ========================#
192 bsv_file.write('''
193 /*====== This where the muxing starts for each io-cell======*/
194 Wire#(Bit#(1)) val0<-mkDWire(0); // need a zero
195 ''')
196 bsv_file.write(p.pinmux)
197 bsv_file.write('''
198 /*============================================================*/
199 ''')
200 # ====================================================================
201 # ================= interface definitions for each method =============#
202 bsv_file.write('''
203 interface mux_lines = interface MuxSelectionLines
204 ''')
205 for cell in p.muxed_cells:
206 bsv_file.write(
207 mux_interface.ifacedef(
208 cell[0], cell_bit_width))
209 bsv_file.write("\n endinterface;")
210
211 bsv_file.write('''
212 interface iocell_side = interface IOCellSide
213 ''')
214 iocells.ifacedef(bsv_file)
215 bsv_file.write("\n endinterface;")
216
217 bsv_file.write('''
218 interface peripheral_side = interface PeripheralSide
219 ''')
220 ifaces.ifacedef(bsv_file)
221 bsv_file.write("\n endinterface;")
222
223 bsv_file.write(footer)
224 print("BSV file successfully generated: bsv_src/pinmux.bsv")
225 # ======================================================================
226
227
228 def write_ptp(ptp, p, ifaces):
229 with open(ptp, 'w') as bsv_file:
230 bsv_file.write(copyright + '''
231 package PinTop;
232 import pinmux::*;
233 interface Ifc_PintTop;
234 method ActionValue#(Bool) write(Bit#({0}) addr, Bit#({1}) data);
235 method Tuple2#(Bool,Bit#({1})) read(Bit#({0}) addr);
236 interface PeripheralSide peripheral_side;
237 endinterface
238
239 module mkPinTop(Ifc_PintTop);
240 // instantiate the pin-mux module here
241 Ifc_pinmux pinmux <-mkpinmux;
242
243 // declare the registers which will be used to mux the IOs
244 '''.format(p.ADDR_WIDTH, p.DATA_WIDTH))
245
246 cell_bit_width = str(p.cell_bitwidth)
247 for cell in p.muxed_cells:
248 bsv_file.write('''
249 Reg#(Bit#({0})) rg_muxio_{1} <-mkReg(0);'''.format(
250 cell_bit_width, cell[0]))
251
252 bsv_file.write('''
253 // rule to connect the registers to the selection lines of the
254 // pin-mux module
255 rule connect_selection_registers;''')
256
257 for cell in p.muxed_cells:
258 bsv_file.write('''
259 pinmux.mux_lines.cell{0}_mux(rg_muxio_{0});'''.format(cell[0]))
260
261 bsv_file.write('''
262 endrule
263 // method definitions for the write user interface
264 method ActionValue#(Bool) write(Bit#({2}) addr, Bit#({3}) data);
265 Bool err=False;
266 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
267 p.ADDR_WIDTH, p.DATA_WIDTH))
268 index = 0
269 for cell in p.muxed_cells:
270 bsv_file.write('''
271 {0}: rg_muxio_{1}<=truncate(data);'''.format(index, cell[0]))
272 index = index + 1
273
274 bsv_file.write('''
275 default: err=True;
276 endcase
277 return err;
278 endmethod''')
279
280 bsv_file.write('''
281 // method definitions for the read user interface
282 method Tuple2#(Bool,Bit#({3})) read(Bit#({2}) addr);
283 Bool err=False;
284 Bit#(32) data=0;
285 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
286 p.ADDR_WIDTH, p.DATA_WIDTH))
287 index = 0
288 for cell in p.muxed_cells:
289 bsv_file.write('''
290 {0}: data=zeroExtend(rg_muxio_{1});'''.format(index, cell[0]))
291 index = index + 1
292
293 bsv_file.write('''
294 default:err=True;
295 endcase
296 return tuple2(err,data);
297 endmethod
298 interface peripheral_side=pinmux.peripheral_side;
299 endmodule
300 endpackage
301 ''')
302
303
304 def write_bvp(bvp, p, ifaces):
305 # ######## Generate bus transactors ################
306 gpiocfg = '\t\tinterface GPIO_config#({4}) bank{3}_config\n;' \
307 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) bank{3}_slave;'
308 muxcfg = '\t\tinterface MUX_config#({4}) muxb{3}_config;\n' \
309 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) muxb{3}_slave;'
310
311 gpiodec = '\tGPIO#({0} mygpio{1} <- mkgpio();'
312 muxdec = '\tMUX#({0} mymux{1} <- mkgpio();'
313 gpioifc = '\tinterface bank{0}_config=mygpio{0}.pad_config;\n' \
314 '\tinterface bank{0}A_slave=mygpio{0}.axi_slave;'
315 muxifc = '\tinterface muxb{0}_config=mymux{0}.pad_config;\n' \
316 '\tinterface muxb{0}A_slave=mymux{0}.axi_slave;'
317 with open(bvp, 'w') as bsv_file:
318 # assume here that all muxes have a 1:1 gpio
319 cfg = []
320 decl = []
321 idec = []
322 iks = sorted(ifaces.keys())
323 for iname in iks:
324 if not iname.startswith('gpio'): # TODO: declare other interfaces
325 continue
326 bank = iname[4:]
327 ifc = ifaces[iname]
328 npins = len(ifc.pinspecs)
329 cfg.append(gpiocfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
330 0, # USERSPACE
331 bank, npins))
332 cfg.append(muxcfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
333 0, # USERSPACE
334 bank, npins))
335 decl.append(gpiodec.format(npins, bank))
336 decl.append(muxdec .format(npins, bank))
337 idec.append(gpioifc.format(bank))
338 idec.append(muxifc.format(bank))
339 print dir(ifaces)
340 print ifaces.items()
341 print dir(ifaces['gpioa'])
342 print ifaces['gpioa'].pinspecs
343 gpiodecl = '\n'.join(decl) + '\n' + '\n'.join(idec)
344 gpiocfg = '\n'.join(cfg)
345 bsv_file.write(axi4_lite.format(gpiodecl, gpiocfg))
346 # ##################################################