add first peripheral set
[shakti-peripherals.git] / src / lib / ConcatReg.bsv
1 /*
2 Copyright (c) 2013, IIT Madras
3 All rights reserved.
4
5 Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met:
6
7 * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer.
8 * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution.
9 * Neither the name of IIT Madras nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission.
10
11 THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
12 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
13 */
14
15 // Copyright (c) 2016 Massachusetts Institute of Technology
16
17 // Permission is hereby granted, free of charge, to any person
18 // obtaining a copy of this software and associated documentation
19 // files (the "Software"), to deal in the Software without
20 // restriction, including without limitation the rights to use, copy,
21 // modify, merge, publish, distribute, sublicense, and/or sell copies
22 // of the Software, and to permit persons to whom the Software is
23 // furnished to do so, subject to the following conditions:
24
25 // The above copyright notice and this permission notice shall be
26 // included in all copies or substantial portions of the Software.
27
28 // THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
29 // EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
30 // MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
31 // NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS
32 // BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN
33 // ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
34 // CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
35 // SOFTWARE.
36
37 // This file was created by gen_ConcatReg.py. If you want to modify this file,
38 // please modify gen_ConcatReg.py instead. If you need a wider concatReg
39 // function, change the value of n in gen_ConcatReg.py and run it again.
40
41 // The Bluespec provided BuildVector.bsv provides another example of
42 // constructing a function that takes a variable number of arguments
43
44 // Typeclass for creating _concatReg with a variable number of arguments.
45 typeclass ConcatReg#(type r, numeric type n1, numeric type n2)
46 dependencies ((r,n1) determines n2, (r,n2) determines n1);
47 // dependencies (r determines (n1,n2));
48 function r _concatReg(Reg#(Bit#(n1)) r1, Reg#(Bit#(n2)) r2);
49 endtypeclass
50 // Base case
51 instance ConcatReg#(Reg#(Bit#(n3)), n1, n2) provisos (Add#(n1, n2, n3));
52 function Reg#(Bit#(TAdd#(n1,n2))) _concatReg(Reg#(Bit#(n1)) r1, Reg#(Bit#(n2)) r2);
53 return (interface Reg;
54 method Bit#(TAdd#(n1,n2)) _read = {r1._read, r2._read};
55 method Action _write(Bit#(TAdd#(n1,n2)) x);
56 r1._write(truncateLSB(x));
57 r2._write(truncate(x));
58 endmethod
59 endinterface);
60 endfunction
61 endinstance
62 // Recursion
63 instance ConcatReg#(function r f(Reg#(Bit#(n3)) r3), n1, n2) provisos (ConcatReg#(r, TAdd#(n1, n2), n3));
64 function function r f(Reg#(Bit#(n3)) r3) _concatReg(Reg#(Bit#(n1)) r1, Reg#(Bit#(n2)) r2);
65 return _concatReg(interface Reg;
66 method Bit#(TAdd#(n1,n2)) _read = {r1._read, r2._read};
67 method Action _write(Bit#(TAdd#(n1,n2)) x);
68 r1._write(truncateLSB(x));
69 r2._write(truncate(x));
70 endmethod
71 endinterface);
72 endfunction
73 endinstance
74
75 function Reg#(t) readOnlyReg(t r);
76 return (interface Reg;
77 method t _read = r;
78 method Action _write(t x) = noAction;
79 endinterface);
80 endfunction
81
82 // Wrapper function for users. This can take a variable number of arguments.
83 // You will need to use asReg() for the third argument and beyond.
84 function r concatReg(Reg#(Bit#(n1)) r1, Reg#(Bit#(n2)) r2) provisos(ConcatReg#(r, n1, n2));
85 return _concatReg(asReg(r1),asReg(r2));
86 endfunction
87
88 // Automatically generated macros with a set number of registers.
89 // These don't require asReg when used.
90 function Reg#(Bit#(n)) concatReg2(
91 Reg#(Bit#(n1)) r1,
92 Reg#(Bit#(n2)) r2
93 ) provisos (
94 Add#(n1,n2,n)
95 );
96 return concatReg(asReg(r1),asReg(r2));
97 endfunction
98
99 function Reg#(Bit#(n)) concatReg3(
100 Reg#(Bit#(n1)) r1,
101 Reg#(Bit#(n2)) r2,
102 Reg#(Bit#(n3)) r3
103 ) provisos (
104 Add#(TAdd#(n1,n2),n3,n)
105 );
106 return concatReg(asReg(r1),asReg(r2),asReg(r3));
107 endfunction
108
109 function Reg#(Bit#(n)) concatReg4(
110 Reg#(Bit#(n1)) r1,
111 Reg#(Bit#(n2)) r2,
112 Reg#(Bit#(n3)) r3,
113 Reg#(Bit#(n4)) r4
114 ) provisos (
115 Add#(TAdd#(TAdd#(n1,n2),n3),n4,n)
116 );
117 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4));
118 endfunction
119
120 function Reg#(Bit#(n)) concatReg5(
121 Reg#(Bit#(n1)) r1,
122 Reg#(Bit#(n2)) r2,
123 Reg#(Bit#(n3)) r3,
124 Reg#(Bit#(n4)) r4,
125 Reg#(Bit#(n5)) r5
126 ) provisos (
127 Add#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5,n)
128 );
129 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5));
130 endfunction
131
132 function Reg#(Bit#(n)) concatReg6(
133 Reg#(Bit#(n1)) r1,
134 Reg#(Bit#(n2)) r2,
135 Reg#(Bit#(n3)) r3,
136 Reg#(Bit#(n4)) r4,
137 Reg#(Bit#(n5)) r5,
138 Reg#(Bit#(n6)) r6
139 ) provisos (
140 Add#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6,n)
141 );
142 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6));
143 endfunction
144
145 function Reg#(Bit#(n)) concatReg7(
146 Reg#(Bit#(n1)) r1,
147 Reg#(Bit#(n2)) r2,
148 Reg#(Bit#(n3)) r3,
149 Reg#(Bit#(n4)) r4,
150 Reg#(Bit#(n5)) r5,
151 Reg#(Bit#(n6)) r6,
152 Reg#(Bit#(n7)) r7
153 ) provisos (
154 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7,n)
155 );
156 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7));
157 endfunction
158
159 function Reg#(Bit#(n)) concatReg8(
160 Reg#(Bit#(n1)) r1,
161 Reg#(Bit#(n2)) r2,
162 Reg#(Bit#(n3)) r3,
163 Reg#(Bit#(n4)) r4,
164 Reg#(Bit#(n5)) r5,
165 Reg#(Bit#(n6)) r6,
166 Reg#(Bit#(n7)) r7,
167 Reg#(Bit#(n8)) r8
168 ) provisos (
169 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8,n)
170 );
171 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8));
172 endfunction
173
174 function Reg#(Bit#(n)) concatReg9(
175 Reg#(Bit#(n1)) r1,
176 Reg#(Bit#(n2)) r2,
177 Reg#(Bit#(n3)) r3,
178 Reg#(Bit#(n4)) r4,
179 Reg#(Bit#(n5)) r5,
180 Reg#(Bit#(n6)) r6,
181 Reg#(Bit#(n7)) r7,
182 Reg#(Bit#(n8)) r8,
183 Reg#(Bit#(n9)) r9
184 ) provisos (
185 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9,n)
186 );
187 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9));
188 endfunction
189
190 function Reg#(Bit#(n)) concatReg10(
191 Reg#(Bit#(n1)) r1,
192 Reg#(Bit#(n2)) r2,
193 Reg#(Bit#(n3)) r3,
194 Reg#(Bit#(n4)) r4,
195 Reg#(Bit#(n5)) r5,
196 Reg#(Bit#(n6)) r6,
197 Reg#(Bit#(n7)) r7,
198 Reg#(Bit#(n8)) r8,
199 Reg#(Bit#(n9)) r9,
200 Reg#(Bit#(n10)) r10
201 ) provisos (
202 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10,n)
203 );
204 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10));
205 endfunction
206
207 function Reg#(Bit#(n)) concatReg11(
208 Reg#(Bit#(n1)) r1,
209 Reg#(Bit#(n2)) r2,
210 Reg#(Bit#(n3)) r3,
211 Reg#(Bit#(n4)) r4,
212 Reg#(Bit#(n5)) r5,
213 Reg#(Bit#(n6)) r6,
214 Reg#(Bit#(n7)) r7,
215 Reg#(Bit#(n8)) r8,
216 Reg#(Bit#(n9)) r9,
217 Reg#(Bit#(n10)) r10,
218 Reg#(Bit#(n11)) r11
219 ) provisos (
220 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11,n)
221 );
222 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11));
223 endfunction
224
225 function Reg#(Bit#(n)) concatReg12(
226 Reg#(Bit#(n1)) r1,
227 Reg#(Bit#(n2)) r2,
228 Reg#(Bit#(n3)) r3,
229 Reg#(Bit#(n4)) r4,
230 Reg#(Bit#(n5)) r5,
231 Reg#(Bit#(n6)) r6,
232 Reg#(Bit#(n7)) r7,
233 Reg#(Bit#(n8)) r8,
234 Reg#(Bit#(n9)) r9,
235 Reg#(Bit#(n10)) r10,
236 Reg#(Bit#(n11)) r11,
237 Reg#(Bit#(n12)) r12
238 ) provisos (
239 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12,n)
240 );
241 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12));
242 endfunction
243
244 function Reg#(Bit#(n)) concatReg13(
245 Reg#(Bit#(n1)) r1,
246 Reg#(Bit#(n2)) r2,
247 Reg#(Bit#(n3)) r3,
248 Reg#(Bit#(n4)) r4,
249 Reg#(Bit#(n5)) r5,
250 Reg#(Bit#(n6)) r6,
251 Reg#(Bit#(n7)) r7,
252 Reg#(Bit#(n8)) r8,
253 Reg#(Bit#(n9)) r9,
254 Reg#(Bit#(n10)) r10,
255 Reg#(Bit#(n11)) r11,
256 Reg#(Bit#(n12)) r12,
257 Reg#(Bit#(n13)) r13
258 ) provisos (
259 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13,n)
260 );
261 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13));
262 endfunction
263
264 function Reg#(Bit#(n)) concatReg14(
265 Reg#(Bit#(n1)) r1,
266 Reg#(Bit#(n2)) r2,
267 Reg#(Bit#(n3)) r3,
268 Reg#(Bit#(n4)) r4,
269 Reg#(Bit#(n5)) r5,
270 Reg#(Bit#(n6)) r6,
271 Reg#(Bit#(n7)) r7,
272 Reg#(Bit#(n8)) r8,
273 Reg#(Bit#(n9)) r9,
274 Reg#(Bit#(n10)) r10,
275 Reg#(Bit#(n11)) r11,
276 Reg#(Bit#(n12)) r12,
277 Reg#(Bit#(n13)) r13,
278 Reg#(Bit#(n14)) r14
279 ) provisos (
280 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14,n)
281 );
282 return concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14));
283 endfunction
284
285 function Reg#(Bit#(n)) concatReg24(
286 Reg#(Bit#(n1)) r1,
287 Reg#(Bit#(n2)) r2,
288 Reg#(Bit#(n3)) r3,
289 Reg#(Bit#(n4)) r4,
290 Reg#(Bit#(n5)) r5,
291 Reg#(Bit#(n6)) r6,
292 Reg#(Bit#(n7)) r7,
293 Reg#(Bit#(n8)) r8,
294 Reg#(Bit#(n9)) r9,
295 Reg#(Bit#(n10)) r10,
296 Reg#(Bit#(n11)) r11,
297 Reg#(Bit#(n12)) r12,
298 Reg#(Bit#(n13)) r13,
299 Reg#(Bit#(n14)) r14,
300 Reg#(Bit#(n15)) r15,
301 Reg#(Bit#(n16)) r16,
302 Reg#(Bit#(n17)) r17,
303 Reg#(Bit#(n18)) r18,
304 Reg#(Bit#(n19)) r19,
305 Reg#(Bit#(n20)) r20,
306 Reg#(Bit#(n21)) r21,
307 Reg#(Bit#(n22)) r22,
308 Reg#(Bit#(n23)) r23,
309 Reg#(Bit#(n24)) r24
310 ) provisos (
311 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14),n15),n16),n17),n18),n19),n20),n21),n22),n23),n24,n)
312 );
313 return
314 concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14),asReg(r15),asReg(r16),asReg(r17),asReg(r18),asReg(r19),asReg(r20),asReg(r21),asReg(r22),asReg(r23),asReg(r24));
315 endfunction
316
317 function Reg#(Bit#(n)) concatReg20(
318 Reg#(Bit#(n1)) r1,
319 Reg#(Bit#(n2)) r2,
320 Reg#(Bit#(n3)) r3,
321 Reg#(Bit#(n4)) r4,
322 Reg#(Bit#(n5)) r5,
323 Reg#(Bit#(n6)) r6,
324 Reg#(Bit#(n7)) r7,
325 Reg#(Bit#(n8)) r8,
326 Reg#(Bit#(n9)) r9,
327 Reg#(Bit#(n10)) r10,
328 Reg#(Bit#(n11)) r11,
329 Reg#(Bit#(n12)) r12,
330 Reg#(Bit#(n13)) r13,
331 Reg#(Bit#(n14)) r14,
332 Reg#(Bit#(n15)) r15,
333 Reg#(Bit#(n16)) r16,
334 Reg#(Bit#(n17)) r17,
335 Reg#(Bit#(n18)) r18,
336 Reg#(Bit#(n19)) r19,
337 Reg#(Bit#(n20)) r20
338 ) provisos (
339 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14),n15),n16),n17),n18),n19),n20,n)
340 );
341 return
342 concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14),asReg(r15),asReg(r16),asReg(r17),asReg(r18),asReg(r19),asReg(r20));
343 endfunction
344
345 function Reg#(Bit#(n)) concatReg18(
346 Reg#(Bit#(n1)) r1,
347 Reg#(Bit#(n2)) r2,
348 Reg#(Bit#(n3)) r3,
349 Reg#(Bit#(n4)) r4,
350 Reg#(Bit#(n5)) r5,
351 Reg#(Bit#(n6)) r6,
352 Reg#(Bit#(n7)) r7,
353 Reg#(Bit#(n8)) r8,
354 Reg#(Bit#(n9)) r9,
355 Reg#(Bit#(n10)) r10,
356 Reg#(Bit#(n11)) r11,
357 Reg#(Bit#(n12)) r12,
358 Reg#(Bit#(n13)) r13,
359 Reg#(Bit#(n14)) r14,
360 Reg#(Bit#(n15)) r15,
361 Reg#(Bit#(n16)) r16,
362 Reg#(Bit#(n17)) r17,
363 Reg#(Bit#(n18)) r18
364 ) provisos (
365 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14),n15),n16),n17),n18,n)
366 );
367 return
368 concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14),asReg(r15),asReg(r16),asReg(r17),asReg(r18));
369 endfunction
370
371 function Reg#(Bit#(n)) concatReg16(
372 Reg#(Bit#(n1)) r1,
373 Reg#(Bit#(n2)) r2,
374 Reg#(Bit#(n3)) r3,
375 Reg#(Bit#(n4)) r4,
376 Reg#(Bit#(n5)) r5,
377 Reg#(Bit#(n6)) r6,
378 Reg#(Bit#(n7)) r7,
379 Reg#(Bit#(n8)) r8,
380 Reg#(Bit#(n9)) r9,
381 Reg#(Bit#(n10)) r10,
382 Reg#(Bit#(n11)) r11,
383 Reg#(Bit#(n12)) r12,
384 Reg#(Bit#(n13)) r13,
385 Reg#(Bit#(n14)) r14,
386 Reg#(Bit#(n15)) r15,
387 Reg#(Bit#(n16)) r16
388 ) provisos (
389 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14),n15),n16,n)
390 );
391 return
392 concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14),asReg(r15),asReg(r16));
393 endfunction
394 function Reg#(Bit#(n)) concatReg19(
395 Reg#(Bit#(n1)) r1,
396 Reg#(Bit#(n2)) r2,
397 Reg#(Bit#(n3)) r3,
398 Reg#(Bit#(n4)) r4,
399 Reg#(Bit#(n5)) r5,
400 Reg#(Bit#(n6)) r6,
401 Reg#(Bit#(n7)) r7,
402 Reg#(Bit#(n8)) r8,
403 Reg#(Bit#(n9)) r9,
404 Reg#(Bit#(n10)) r10,
405 Reg#(Bit#(n11)) r11,
406 Reg#(Bit#(n12)) r12,
407 Reg#(Bit#(n13)) r13,
408 Reg#(Bit#(n14)) r14,
409 Reg#(Bit#(n15)) r15,
410 Reg#(Bit#(n16)) r16,
411 Reg#(Bit#(n17)) r17,
412 Reg#(Bit#(n18)) r18,
413 Reg#(Bit#(n19)) r19
414 ) provisos (
415 Add#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(TAdd#(n1,n2),n3),n4),n5),n6),n7),n8),n9),n10),n11),n12),n13),n14),n15),n16),n17),n18),n19,n)
416 );
417 return
418 concatReg(asReg(r1),asReg(r2),asReg(r3),asReg(r4),asReg(r5),asReg(r6),asReg(r7),asReg(r8),asReg(r9),asReg(r10),asReg(r11),asReg(r12),asReg(r13),asReg(r14),asReg(r15),asReg(r16),asReg(r17),asReg(r18),asReg(r19));
419 endfunction