add first peripheral set
[shakti-peripherals.git] / src / peripherals / qspi / qspi.defs
1 `define CR 'h00
2 `define DCR 'h04
3 `define SR 'h08
4 `define FCR 'h0c
5 `define DLR 'h10
6 `define CCR 'h14
7 `define AR 'h18
8 `define ABR 'h1c
9 `define DR 'h20
10 `define PSMKR 'h24
11 `define PSMAR 'h28
12 `define PIR 'h2c
13 `define LPTR 'h30
14 `define SDIO0 'h34
15 `define SDIO1 'h38
16 `define SDIO2 'h3c
17 `define SDIO3 'h40
18 `define STARTMM 'h0000000
19 `define ENDMM 'hFFFFFFF