add always ready/enabled to get/put
[shakti-peripherals.git] / src / lib / ifc_sync.bsv
index b6878d7936e36e0c0964d41855131269b21c86e6..c17920636609ceb7dd9bc3e8f3ce6c19fb09865b 100644 (file)
@@ -3,6 +3,7 @@ package ifc_sync;
   import Clocks::*;
   import GetPut::*;
 
+  (*always_ready,always_enabled*)
   interface Ifc_sync#(type a);
     interface Put#(a) put;
     interface Get#(a) get;
@@ -28,5 +29,5 @@ package ifc_sync;
     endinterface;
   endmodule
 
-endpackage;
+endpackage