user PADDR in peripherals not ADDR
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 28 Jul 2018 09:11:32 +0000 (10:11 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sat, 28 Jul 2018 09:11:32 +0000 (10:11 +0100)
src/peripherals/gpio/gpio.bsv
src/peripherals/mux/mux.bsv
src/peripherals/rgbttl/rgbttl_dummy.bsv
src/peripherals/sdmmc/sdcard_dummy.bsv

index 64f76fcdc917bc2ee179e3cd2218c089899f70f8..df55b67eea41f6a8a6a6449b514e798453f12d7b 100644 (file)
@@ -56,7 +56,7 @@ package gpio;
   interface GPIO#(numeric type ionum);
     interface GPIO_config#(ionum) pad_config;
     interface GPIO_func#(ionum) func;
-               interface AXI4_Lite_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave;
+               interface AXI4_Lite_Slave_IFC#(`PADDR,`DATA,`USERSPACE) axi_slave;
        endinterface
 
        module mkgpio(GPIO#(ionum_));
@@ -74,7 +74,7 @@ package gpio;
                Vector#(ionum_,ConfigReg#(Bit#(1))) pwrupzhl_reg        <-replicateM(mkConfigReg(0));   
                Vector#(ionum_,ConfigReg#(Bit#(1))) pwrup_pull_en_reg   <-replicateM(mkConfigReg(0));   
                
-               AXI4_Lite_Slave_Xactor_IFC #(`ADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
+               AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
                rule rl_wr_respond;
                        // Get the wr request
        let aw <- pop_o (s_xactor.o_wr_addr);
index cb6745867399402ed43e97ef55c9c80fe873dc9a..d7ff5f684924dd844a00e6c23c790ffe00618458 100644 (file)
@@ -31,14 +31,14 @@ package mux;
 
        interface MUX#(numeric type ionum);
         interface MUX_config#(ionum) mux_config;
-            interface AXI4_Lite_Slave_IFC#(`ADDR,`DATA,`USERSPACE) axi_slave;
+            interface AXI4_Lite_Slave_IFC#(`PADDR,`DATA,`USERSPACE) axi_slave;
        endinterface
 
 //     (*synthesize*)
        module mkmux(MUX#(ionum_));
          Vector#(ionum_,ConfigReg#(Bit#(2))) muxer_reg                                 <-replicateM(mkConfigReg(0));
                
-               AXI4_Lite_Slave_Xactor_IFC #(`ADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
+               AXI4_Lite_Slave_Xactor_IFC #(`PADDR, `DATA, `USERSPACE)  s_xactor <- mkAXI4_Lite_Slave_Xactor;
     let ionum=valueOf(ionum_);
                rule rl_wr_respond;
                        // Get the wr request
index a52d5e7db27f36ee367a652d6a0ea0c6dbe3284f..095a6ec4018f2ea22a2687e9ff599549fa1a9413 100644 (file)
@@ -36,8 +36,8 @@ package rgbttl_dummy;
   import AXI4_Types::*;
 
   interface Ifc_rgbttl_dummy;
-           interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master;
-           interface AXI4_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave;
+           interface AXI4_Master_IFC#(`PADDR, `DATA, `USERSPACE) master;
+           interface AXI4_Slave_IFC#(`PADDR, `DATA, `USERSPACE) slave;
       interface Get#(Bit#(1)) de;
       interface Get#(Bit#(1)) ck;
       interface Get#(Bit#(1)) vs;
@@ -47,9 +47,9 @@ package rgbttl_dummy;
 
   (*synthesize*)
   module mkrgbttl_dummy(Ifc_rgbttl_dummy);
-               AXI4_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
+               AXI4_Slave_Xactor_IFC#(`PADDR,`DATA, `USERSPACE)
                             s_xactor<-mkAXI4_Slave_Xactor();
-               AXI4_Master_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
+               AXI4_Master_Xactor_IFC#(`PADDR,`DATA, `USERSPACE)
                             m_xactor<-mkAXI4_Master_Xactor();
 
       Reg#(Bit#(1)) rg_de <- mkReg(0);
index 823394b2dc8880e2bcc4c90cf5de66995e1744b1..9e44c67625ced11a9fb782cabd02f1b9ae0445cf 100644 (file)
@@ -45,7 +45,7 @@ package sdcard_dummy;
        import AXI4_Lite_Types::*;
 
   interface Ifc_sdcard_dummy;
-         interface AXI4_Lite_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave;
+         interface AXI4_Lite_Slave_IFC#(`PADDR, `DATA, `USERSPACE) slave;
     interface Get#(Bit#(1)) cmd;
     interface Get#(Bit#(1)) clk;
     interface Get#(Bit#(`SDBUSWIDTH)) out;
@@ -55,7 +55,7 @@ package sdcard_dummy;
 
   (*synthesize*)
   module mksdcard_dummy(Ifc_sdcard_dummy);
-               AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
+               AXI4_Lite_Slave_Xactor_IFC#(`PADDR,`DATA, `USERSPACE)
                 s_xactor<-mkAXI4_Lite_Slave_Xactor();
 
       Reg#(Bit#(1)) rg_cmd <- mkReg(0);