i2c, uart: Use Synchronizer primitives for the inputs
authorMegan Wachs <megan@sifive.com>
Wed, 6 Sep 2017 01:32:37 +0000 (18:32 -0700)
committerMegan Wachs <megan@sifive.com>
Wed, 6 Sep 2017 01:32:37 +0000 (18:32 -0700)
src/main/scala/devices/i2c/I2CPins.scala
src/main/scala/devices/uart/UARTPeriphery.scala

index 1a02a59a72728ba6d518187e5dbcdc9c815735de..2e294238d0b5286b1c50a2407aa1a8d5331f0f08 100644 (file)
@@ -3,7 +3,7 @@ package sifive.blocks.devices.i2c
 
 import Chisel._
 import chisel3.experimental.{withClockAndReset}
-import freechips.rocketchip.util.ShiftRegInit
+import freechips.rocketchip.util.SynchronizerShiftRegInit
 import sifive.blocks.devices.pinctrl.{Pin, PinCtrl}
 
 class I2CPins[T <: Pin](pingen: () => T) extends Bundle {
@@ -18,11 +18,11 @@ class I2CPins[T <: Pin](pingen: () => T) extends Bundle {
     withClockAndReset(clock, reset) {
       scl.outputPin(i2c.scl.out, pue=true.B, ie = true.B)
       scl.o.oe := i2c.scl.oe
-      i2c.scl.in := ShiftRegInit(scl.i.ival, syncStages, init = Bool(true))
+      i2c.scl.in := SynchronizerShiftRegInit(scl.i.ival, syncStages, init = Bool(true))
 
       sda.outputPin(i2c.sda.out, pue=true.B, ie = true.B)
       sda.o.oe := i2c.sda.oe
-      i2c.sda.in := ShiftRegInit(sda.i.ival, syncStages, init = Bool(true))
+      i2c.sda.in := SynchronizerShiftRegInit(sda.i.ival, syncStages, init = Bool(true))
     }
   }
 }
index 4a517cb306c7a1db099e1b71e4e7c98c800ecc1a..f24cbadcdf2a1d39a5848797a40476f7a50b9dfe 100644 (file)
@@ -4,7 +4,7 @@ package sifive.blocks.devices.uart
 import Chisel._
 import chisel3.experimental.{withClockAndReset}
 import freechips.rocketchip.config.Field
-import freechips.rocketchip.util.ShiftRegInit
+import freechips.rocketchip.util.SynchronizerShiftRegInit
 import freechips.rocketchip.coreplex.{HasPeripheryBus, PeripheryBusParams, HasInterruptBus}
 import freechips.rocketchip.diplomacy.{LazyModule, LazyMultiIOModuleImp}
 import sifive.blocks.devices.pinctrl.{Pin}
@@ -51,7 +51,7 @@ class UARTPins[T <: Pin] (pingen: () => T) extends Bundle {
     withClockAndReset(clock, reset) {
       txd.outputPin(uart.txd)
       val rxd_t = rxd.inputPin()
-      uart.rxd := ShiftRegInit(rxd_t, n = syncStages, init = Bool(true))
+      uart.rxd := SynchronizerShiftRegInit(rxd_t, n = syncStages, init = Bool(true))
     }
   }
 }