c4f03ed337e41ed5ce2e78e67dfe4b2b1b995d3b
[soc.git] / src / TLB / ariane / tlb_content.py
1 from nmigen import Signal, Module, Cat, Const, Elaboratable
2
3 from TLB.ariane.ptw import TLBUpdate, PTE
4
5
6 class TLBEntry:
7 def __init__(self, asid_width):
8 self.asid = Signal(asid_width)
9 # SV48 defines four levels of page tables
10 self.vpn0 = Signal(9)
11 self.vpn1 = Signal(9)
12 self.vpn2 = Signal(9)
13 self.vpn3 = Signal(9)
14 #TODO_PLATEN: use that signal
15 self.is_2M = Signal()
16 self.is_1G = Signal()
17 self.valid = Signal()
18
19 def flatten(self):
20 return Cat(*self.ports())
21
22 def eq(self, x):
23 return self.flatten().eq(x.flatten())
24
25 def ports(self):
26 return [self.asid, self.vpn0, self.vpn1, self.vpn2,
27 self.is_2M, self.is_1G, self.valid]
28
29 class TLBContent(Elaboratable):
30 def __init__(self, pte_width, asid_width):
31 self.asid_width = asid_width
32 self.pte_width = pte_width
33 self.flush_i = Signal() # Flush signal
34 # Update TLB
35 self.update_i = TLBUpdate(asid_width)
36 self.vpn2 = Signal(9)
37 self.vpn1 = Signal(9)
38 self.vpn0 = Signal(9)
39 self.replace_en_i = Signal() # replace the following entry,
40 # set by replacement strategy
41 # Lookup signals
42 self.lu_asid_i = Signal(asid_width)
43 self.lu_content_o = Signal(pte_width)
44 self.lu_is_2M_o = Signal()
45 self.lu_is_1G_o = Signal()
46 self.lu_hit_o = Signal()
47
48 def elaborate(self, platform):
49 m = Module()
50
51 tags = TLBEntry(self.asid_width)
52 content = Signal(self.pte_width)
53
54 m.d.comb += [self.lu_hit_o.eq(0),
55 self.lu_is_2M_o.eq(0),
56 self.lu_is_1G_o.eq(0)]
57
58 # temporaries for 1st level match
59 asid_ok = Signal(reset_less=True)
60 vpn2_ok = Signal(reset_less=True)
61 tags_ok = Signal(reset_less=True)
62 vpn2_hit = Signal(reset_less=True)
63 m.d.comb += [tags_ok.eq(tags.valid),
64 asid_ok.eq(tags.asid == self.lu_asid_i),
65 vpn2_ok.eq(tags.vpn2 == self.vpn2),
66 vpn2_hit.eq(tags_ok & asid_ok & vpn2_ok)]
67 # temporaries for 2nd level match
68 vpn1_ok = Signal(reset_less=True)
69 tags_2M = Signal(reset_less=True)
70 vpn0_ok = Signal(reset_less=True)
71 vpn0_or_2M = Signal(reset_less=True)
72 m.d.comb += [vpn1_ok.eq(self.vpn1 == tags.vpn1),
73 tags_2M.eq(tags.is_2M),
74 vpn0_ok.eq(self.vpn0 == tags.vpn0),
75 vpn0_or_2M.eq(tags_2M | vpn0_ok)]
76 # first level match, this may be a giga page,
77 # check the ASID flags as well
78 with m.If(vpn2_hit):
79 # second level
80 with m.If (tags.is_1G):
81 m.d.comb += [ self.lu_content_o.eq(content),
82 self.lu_is_1G_o.eq(1),
83 self.lu_hit_o.eq(1),
84 ]
85 # not a giga page hit so check further
86 with m.Elif(vpn1_ok):
87 # this could be a 2 mega page hit or a 4 kB hit
88 # output accordingly
89 with m.If(vpn0_or_2M):
90 m.d.comb += [ self.lu_content_o.eq(content),
91 self.lu_is_2M_o.eq(tags.is_2M),
92 self.lu_hit_o.eq(1),
93 ]
94 # ------------------
95 # Update or Flush
96 # ------------------
97
98 # temporaries
99 replace_valid = Signal(reset_less=True)
100 m.d.comb += replace_valid.eq(self.update_i.valid & self.replace_en_i)
101
102 # flush
103 with m.If (self.flush_i):
104 # invalidate (flush) conditions: all if zero or just this ASID
105 with m.If (self.lu_asid_i == Const(0, self.asid_width) |
106 (self.lu_asid_i == tags.asid)):
107 m.d.sync += tags.valid.eq(0)
108
109 # normal replacement
110 with m.Elif(replace_valid):
111 m.d.sync += [ # update tag array
112 tags.asid.eq(self.update_i.asid),
113 tags.vpn2.eq(self.update_i.vpn[18:27]),
114 tags.vpn1.eq(self.update_i.vpn[9:18]),
115 tags.vpn0.eq(self.update_i.vpn[0:9]),
116 tags.is_1G.eq(self.update_i.is_1G),
117 tags.is_2M.eq(self.update_i.is_2M),
118 tags.valid.eq(1),
119 # and content as well
120 content.eq(self.update_i.content.flatten())
121 ]
122 return m
123
124 def ports(self):
125 return [self.flush_i,
126 self.lu_asid_i,
127 self.lu_is_2M_o, self.lu_is_1G_o, self.lu_hit_o,
128 ] + self.update_i.content.ports() + self.update_i.ports()