move over to from openpower imports
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 23 Apr 2021 14:30:39 +0000 (15:30 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 23 Apr 2021 14:30:39 +0000 (15:30 +0100)
106 files changed:
src/soc/debug/firmware_upload.py
src/soc/decoder/formal/proof_decoder.py
src/soc/decoder/formal/proof_decoder2.py
src/soc/decoder/helpers.py
src/soc/decoder/isa/caller.py
src/soc/decoder/power_pseudo.py
src/soc/decoder/power_regspec_map.py
src/soc/decoder/power_svp64.py
src/soc/decoder/power_svp64_extra.py
src/soc/decoder/power_svp64_rm.py
src/soc/decoder/pseudo/lexer.py
src/soc/decoder/pseudo/parser.py
src/soc/decoder/test/test_decoder_gas.py
src/soc/decoder/test/test_power_decoder.py
src/soc/experiment/alu_hier.py
src/soc/experiment/compalu.py
src/soc/experiment/compldst_multi.py
src/soc/experiment/l0_cache.py
src/soc/experiment/pimem.py
src/soc/experiment/score6600.py
src/soc/experiment/score6600_multi.py
src/soc/experiment/sim.py
src/soc/experiment/test/test_compalu_multi.py
src/soc/fu/alu/alu_input_record.py
src/soc/fu/alu/formal/proof_input_stage.py
src/soc/fu/alu/formal/proof_main_stage.py
src/soc/fu/alu/formal/proof_output_stage.py
src/soc/fu/alu/main_stage.py
src/soc/fu/alu/output_stage.py
src/soc/fu/alu/test/svp64_cases.py
src/soc/fu/alu/test/test_pipe_caller.py
src/soc/fu/branch/br_input_record.py
src/soc/fu/branch/formal/proof_input_stage.py
src/soc/fu/branch/formal/proof_main_stage.py
src/soc/fu/branch/main_stage.py
src/soc/fu/branch/test/test_pipe_caller.py
src/soc/fu/common_input_stage.py
src/soc/fu/common_output_stage.py
src/soc/fu/compunits/compunits.py
src/soc/fu/compunits/formal/test_compunit.py
src/soc/fu/compunits/test/test_alu_compunit.py
src/soc/fu/compunits/test/test_branch_compunit.py
src/soc/fu/compunits/test/test_compunit.py
src/soc/fu/compunits/test/test_cr_compunit.py
src/soc/fu/compunits/test/test_div_compunit.py
src/soc/fu/compunits/test/test_ldst_compunit.py
src/soc/fu/compunits/test/test_logical_compunit.py
src/soc/fu/compunits/test/test_shiftrot_compunit.py
src/soc/fu/compunits/test/test_spr_compunit.py
src/soc/fu/compunits/test/test_trap_compunit.py
src/soc/fu/cr/cr_input_record.py
src/soc/fu/cr/formal/proof_main_stage.py
src/soc/fu/cr/main_stage.py
src/soc/fu/cr/test/test_pipe_caller.py
src/soc/fu/div/core_stages.py
src/soc/fu/div/formal/proof_main_stage.py
src/soc/fu/div/output_stage.py
src/soc/fu/div/setup_stage.py
src/soc/fu/div/test/helper.py
src/soc/fu/div/test/test_pipe_caller.py
src/soc/fu/div/test/test_pipe_caller_long.py
src/soc/fu/ldst/ldst_input_record.py
src/soc/fu/ldst/test/test_pipe_caller.py
src/soc/fu/logical/formal/proof_input_stage.py
src/soc/fu/logical/formal/proof_main_stage.py
src/soc/fu/logical/logical_input_record.py
src/soc/fu/logical/main_stage.py
src/soc/fu/logical/output_stage.py
src/soc/fu/logical/test/test_pipe_caller.py
src/soc/fu/mmu/fsm.py
src/soc/fu/mmu/mmu_input_record.py
src/soc/fu/mmu/test/test_issuer_mmu_data_path.py
src/soc/fu/mmu/test/test_issuer_mmu_rom.py
src/soc/fu/mmu/test/test_non_production_core.py
src/soc/fu/mmu/test/test_pipe_caller.py
src/soc/fu/mul/formal/proof_main_stage.py
src/soc/fu/mul/mul_input_record.py
src/soc/fu/mul/post_stage.py
src/soc/fu/mul/test/helper.py
src/soc/fu/mul/test/test_pipe_caller.py
src/soc/fu/mul/test/test_pipe_caller_long.py
src/soc/fu/pipe_data.py
src/soc/fu/shift_rot/formal/proof_main_stage.py
src/soc/fu/shift_rot/main_stage.py
src/soc/fu/shift_rot/sr_input_record.py
src/soc/fu/shift_rot/test/test_maskgen.py
src/soc/fu/shift_rot/test/test_pipe_caller.py
src/soc/fu/spr/formal/proof_main_stage.py
src/soc/fu/spr/main_stage.py
src/soc/fu/spr/spr_input_record.py
src/soc/fu/spr/test/test_pipe_caller.py
src/soc/fu/test/common.py
src/soc/fu/trap/formal/proof_main_stage.py
src/soc/fu/trap/main_stage.py
src/soc/fu/trap/test/test_pipe_caller.py
src/soc/fu/trap/trap_input_record.py
src/soc/regfile/regfiles.py
src/soc/regfile/util.py
src/soc/scoreboard/instruction_q.py
src/soc/simple/core.py
src/soc/simple/issuer.py
src/soc/simple/test/test_core.py
src/soc/simple/test/test_issuer.py
src/soc/simple/test/test_microwatt.py
src/soc/simple/test/test_runner.py
src/soc/sv/trans/svp64.py

index 053b386025256d1ece2ebb9983aa893f684889fd..05fe4879470c3fd3373f2ed68be21f740b46367d 100644 (file)
@@ -21,7 +21,7 @@ from nmutil.util import wrap
 from soc.debug.jtagutils import (jtag_read_write_reg,
                                  jtag_srv, jtag_set_reset,
                                  jtag_set_ir, jtag_set_get_dr)
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 
 def test_pinset():
     return {
index 5abd9182ecf6e42ad6035e1a521edcca732bfd36..ce19a4265d6422b9fe5f09bafaa6bfde294422e6 100644 (file)
@@ -2,12 +2,12 @@ from nmigen import Module, Signal, Elaboratable, Cat
 from nmigen.asserts import Assert, AnyConst, Assume
 from nmutil.formaltest import FHDLTestCase
 
-from soc.decoder.power_decoder import create_pdecode, PowerOp
-from soc.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
+from openpower.decoder.power_decoder import create_pdecode, PowerOp
+from openpower.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
                                      OutSel, RC, Form, Function,
                                      LdstLen, CryIn,
                                      MicrOp, SPR, get_csv)
-from soc.decoder.power_decoder2 import (PowerDecode2,
+from openpower.decoder.power_decoder2 import (PowerDecode2,
                                         Decode2ToExecute1Type)
 import unittest
 import pdb
index b7ac61f708ff3bfab70150e7a422b9366d710b8e..d20c28f1c3225fdebbd23eb2f5317ea6120995e8 100644 (file)
@@ -2,11 +2,11 @@ from nmigen import Module, Signal, Elaboratable, Cat, Repl
 from nmigen.asserts import Assert, AnyConst
 from nmutil.formaltest import FHDLTestCase
 
-from soc.decoder.power_decoder import create_pdecode, PowerOp
-from soc.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
+from openpower.decoder.power_decoder import create_pdecode, PowerOp
+from openpower.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
                                      OutSel, RC, Form,
                                      MicrOp, SPR)
-from soc.decoder.power_decoder2 import (PowerDecode2,
+from openpower.decoder.power_decoder2 import (PowerDecode2,
                                         Decode2ToExecute1Type)
 import unittest
 
index 6f63cc3d363596c72290123719cacdc8c5d94862..b54bcfd86233f50a5467347458547c8e6fd7cec7 100644 (file)
@@ -1,10 +1,10 @@
 import unittest
-from soc.decoder.selectable_int import SelectableInt, onebit
+from openpower.decoder.selectable_int import SelectableInt, onebit
 from nmutil.divmod import trunc_divs, trunc_rems
 from operator import floordiv, mod
-from soc.decoder.selectable_int import selectltu as ltu
-from soc.decoder.selectable_int import selectgtu as gtu
-from soc.decoder.selectable_int import check_extsign
+from openpower.decoder.selectable_int import selectltu as ltu
+from openpower.decoder.selectable_int import selectgtu as gtu
+from openpower.decoder.selectable_int import check_extsign
 
 trunc_div = floordiv
 trunc_rem = mod
index 315db251fa97445244aac839fb330c1dc2ca78dc..f19769f3fc6f8e87f8deb508047fef394f64a513 100644 (file)
@@ -16,24 +16,24 @@ related bugs:
 from nmigen.back.pysim import Settle
 from functools import wraps
 from copy import copy
-from soc.decoder.orderedset import OrderedSet
-from soc.decoder.selectable_int import (FieldSelectableInt, SelectableInt,
+from openpower.decoder.orderedset import OrderedSet
+from openpower.decoder.selectable_int import (FieldSelectableInt, SelectableInt,
                                         selectconcat)
-from soc.decoder.power_enums import (spr_dict, spr_byname, XER_bits,
+from openpower.decoder.power_enums import (spr_dict, spr_byname, XER_bits,
                                      insns, MicrOp, In1Sel, In2Sel, In3Sel,
                                      OutSel, CROutSel,
                                      SVP64RMMode, SVP64PredMode,
                                      SVP64PredInt, SVP64PredCR)
 
-from soc.decoder.power_enums import SVPtype
+from openpower.decoder.power_enums import SVPtype
 
-from soc.decoder.helpers import exts, gtu, ltu, undefined
+from openpower.decoder.helpers import exts, gtu, ltu, undefined
 from soc.consts import PIb, MSRb  # big-endian (PowerISA versions)
 from soc.consts import SVP64CROffs
-from soc.decoder.power_svp64 import SVP64RM, decode_extra
+from openpower.decoder.power_svp64 import SVP64RM, decode_extra
 
-from soc.decoder.isa.radixmmu import RADIX
-from soc.decoder.isa.mem import Mem, swap_order
+from openpower.decoder.isa.radixmmu import RADIX
+from openpower.decoder.isa.mem import Mem, swap_order
 
 from collections import namedtuple
 import math
index 3e02cb78a37c313d42800a58eb410d57655d63b0..eb87b626e2162cd6b8f6ccd961658b27d6b7447d 100644 (file)
@@ -15,13 +15,13 @@ from ply import lex, yacc
 import astor
 import ast
 
-from soc.decoder.power_decoder import create_pdecode
+from openpower.decoder.power_decoder import create_pdecode
 from nmigen.back.pysim import Simulator, Delay
 from nmigen import Module, Signal
 
-from soc.decoder.pseudo.parser import GardenSnakeCompiler
-from soc.decoder.selectable_int import SelectableInt, selectconcat
-from soc.decoder.isa.caller import GPR, Mem
+from openpower.decoder.pseudo.parser import GardenSnakeCompiler
+from openpower.decoder.selectable_int import SelectableInt, selectconcat
+from openpower.decoder.isa.caller import GPR, Mem
 
 
 ####### Test code #######
@@ -256,7 +256,7 @@ def test():
         print("args", args)
         print("-->", " ".join(map(str, args)))
 
-    from soc.decoder.helpers import (EXTS64, EXTZ64, ROTL64, ROTL32, MASK,
+    from openpower.decoder.helpers import (EXTS64, EXTZ64, ROTL64, ROTL32, MASK,
                                      trunc_div, trunc_rem)
 
     d = {}
index 05ff4814e2504e3acbc5924b614031b460f8bd7c..0f5bce328248a18bd6f15b011f7f02d1e4d11ba2 100644 (file)
@@ -36,7 +36,7 @@ see https://libre-soc.org/3d_gpu/architecture/regfile/ section on regspecs
 """
 from nmigen import Const
 from soc.regfile.regfiles import XERRegs, FastRegs, StateRegs
-from soc.decoder.power_enums import CryIn
+from openpower.decoder.power_enums import CryIn
 
 
 def regspec_decode_read(e, regfile, name):
index 167053c9b13214e1fa1a2883a8213100ca321d52..3e3332eae9e75701e88e878c9e29664575aed5f5 100644 (file)
@@ -2,7 +2,7 @@
 # Copyright (C) 2021 Luke Kenneth Casson Leighton <lkcl@lkcl.net>
 # Funded by NLnet http://nlnet.nl
 
-from soc.decoder.power_enums import get_csv, find_wiki_dir
+from openpower.decoder.power_enums import get_csv, find_wiki_dir
 import os
 
 # identifies register by type
index 02c0bfd5a87ca6a29c1ebaec3145734062597ce3..1ac3c52b6cf5a9f8a9ec7914df021e78439d95ae 100644 (file)
@@ -6,7 +6,7 @@ from nmigen.cli import rtlil
 from nmutil.util import sel
 
 
-from soc.decoder.power_enums import (SVEXTRA, SVEtype)
+from openpower.decoder.power_enums import (SVEXTRA, SVEtype)
 from soc.consts import (SPEC, EXTRA2, EXTRA3, SVP64P, field,
                         SPEC_SIZE, SPECb, SPEC_AUG_SIZE, SVP64CROffs)
 
index ac29159a914a5c121ce7d8100a2b33b468e173f0..16b0116a0047f7db647191fda14ebebec6e2fdbd 100644 (file)
@@ -17,7 +17,7 @@ https://libre-soc.org/openpower/sv/svp64/
 """
 
 from nmigen import Elaboratable, Module, Signal, Const
-from soc.decoder.power_enums import (SVP64RMMode, Function, SVPtype,
+from openpower.decoder.power_enums import (SVP64RMMode, Function, SVPtype,
                                     SVP64PredMode, SVP64sat)
 from soc.consts import EXTRA3, SVP64MODE
 from soc.sv.svp64 import SVP64Rec
index 43aab336f1e63978f2d0931ffc13472c465f1df0..b420798b17533118a8440e91c077b38f2b68180f 100644 (file)
@@ -10,7 +10,7 @@
 # Modifications for inclusion in PLY distribution
 from copy import copy
 from ply import lex
-from soc.decoder.selectable_int import SelectableInt
+from openpower.decoder.selectable_int import SelectableInt
 
 # I implemented INDENT / DEDENT generation as a post-processing filter
 
index 54b2635cf2890114469ba6baaf1cacfc0be7613b..0e671960b601e5700daab0780a6eb3f1340645c3 100644 (file)
@@ -13,9 +13,9 @@ from ply import lex, yacc
 import astor
 from copy import deepcopy
 
-from soc.decoder.power_decoder import create_pdecode
-from soc.decoder.pseudo.lexer import IndentLexer
-from soc.decoder.orderedset import OrderedSet
+from openpower.decoder.power_decoder import create_pdecode
+from openpower.decoder.pseudo.lexer import IndentLexer
+from openpower.decoder.orderedset import OrderedSet
 
 # I use the Python AST
 #from compiler import ast
index f65abface930bbe31a4001539f57f73d1fea899f..fdbf8a31b04b0cc5205a3f79ada188be528d1fbd 100644 (file)
@@ -6,14 +6,14 @@ from nmutil.sim_tmp_alternative import Simulator, Delay
 
 from nmutil.formaltest import FHDLTestCase
 import unittest
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_enums import (Function, MicrOp,
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_enums import (Function, MicrOp,
                                      In1Sel, In2Sel, In3Sel,
                                      OutSel, RC, LdstLen, CryIn,
                                      single_bit_flags, Form, SPR,
                                      get_signal_name, get_csv)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.simulator.gas import get_assembled_instruction
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.simulator.gas import get_assembled_instruction
 import random
 
 
index 0a18c77bbe329cb0f28ece7bc826af9084acfbe0..8ee9a5541c79dc4242d5f29ac9556c85b9c19c7d 100644 (file)
@@ -8,8 +8,8 @@ from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import os
 import unittest
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_enums import (Function, MicrOp,
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_enums import (Function, MicrOp,
                                      In1Sel, In2Sel, In3Sel,
                                      CRInSel, CROutSel,
                                      OutSel, RC, LdstLen, CryIn,
index 7aecaf692910b611333cc2b79480d1130fab6521..dbe8465fbdff095736ad2a47332f1cab8172535b 100644 (file)
@@ -22,8 +22,8 @@ from nmutil.gtkw import write_gtkw
 from nmutil.sim_tmp_alternative import (Simulator, nmigen_sim_top_module,
                                         is_engine_pysim)
 
-from soc.decoder.decode2execute1 import Data
-from soc.decoder.power_enums import MicrOp, Function, CryIn
+from openpower.decoder.decode2execute1 import Data
+from openpower.decoder.power_enums import MicrOp, Function, CryIn
 
 from soc.fu.alu.alu_input_record import CompALUOpSubset
 from soc.fu.cr.cr_input_record import CompCROpSubset
index 89d2da1a2c8c0210b0733d6a9c5b0f2ef634b92c..05539cd485ac833266595e23a92d7034c90d5d67 100644 (file)
@@ -3,8 +3,8 @@ from nmigen.cli import verilog, rtlil
 from nmigen import Module, Signal, Mux, Elaboratable
 
 from nmutil.latch import SRLatch, latchregister
-from soc.decoder.power_decoder2 import Data
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_decoder2 import Data
+from openpower.decoder.power_enums import MicrOp
 
 from soc.experiment.alu_hier import CompALUOpSubset
 
@@ -224,7 +224,7 @@ def scoreboard_sim(dut):
 
 def test_scoreboard():
     from alu_hier import ALU
-    from soc.decoder.power_decoder2 import Decode2ToExecute1Type
+    from openpower.decoder.power_decoder2 import Decode2ToExecute1Type
 
     alu = ALU(16)
     dut = ComputationUnitNoDelay(16, alu)
index 8e9f4ec35718541824a78e3ab857dfbf8f307378..807d15bfb793fd5bcaf5a2bdefb0aca5a2f47537 100644 (file)
@@ -92,9 +92,9 @@ from soc.experiment.l0_cache import PortInterface
 from soc.experiment.pimem import LDSTException
 from soc.fu.regspec import RegSpecAPI
 
-from soc.decoder.power_enums import MicrOp, Function, LDSTMode
+from openpower.decoder.power_enums import MicrOp, Function, LDSTMode
 from soc.fu.ldst.ldst_input_record import CompLDSTOpSubset
-from soc.decoder.power_decoder2 import Data
+from openpower.decoder.power_decoder2 import Data
 
 
 class LDSTCompUnitRecord(CompUnitRecord):
index e2c31096a78269e5d5f55548445524db81f79a71..e4f02513f8449c464f43acf63d47bc5887582262 100644 (file)
@@ -23,12 +23,12 @@ from nmigen.utils import log2_int
 from nmigen.hdl.rec import Record, Layout
 
 from nmutil.latch import SRLatch, latchregister
-from soc.decoder.power_decoder2 import Data
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_decoder2 import Data
+from openpower.decoder.power_enums import MicrOp
 from soc.regfile.regfile import ortreereduce
 from nmutil.util import treereduce
 
-from soc.decoder.power_decoder2 import Data
+from openpower.decoder.power_decoder2 import Data
 #from nmutil.picker import PriorityPicker
 from nmigen.lib.coding import PriorityEncoder
 from soc.scoreboard.addr_split import LDSTSplitter
index f8a05992b2b49ae29fdcbf7b704d179a9224c6db..1a66b914b5885d29a676bcd6ddbf67b4363bbc2f 100644 (file)
@@ -23,7 +23,7 @@ from nmigen.utils import log2_int
 
 from nmutil.latch import SRLatch, latchregister
 from nmutil.util import rising_edge
-from soc.decoder.power_decoder2 import Data
+from openpower.decoder.power_decoder2 import Data
 from soc.scoreboard.addr_match import LenExpand
 from soc.experiment.mem_types import LDSTException
 
index 333880847337c7a85dde5fa4206e631bd7bb0e26..f53669610f8e9f995c6e2ed8783155f305ef1640 100644 (file)
@@ -20,10 +20,10 @@ from soc.experiment.testmem import TestMemory
 
 from soc.experiment.alu_hier import ALU, BranchALU, CompALUOpSubset
 
-from soc.decoder.power_enums import MicrOp, Function
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.simulator.program import Program
+from openpower.decoder.power_enums import MicrOp, Function
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.simulator.program import Program
 
 
 from nmutil.latch import SRLatch
index 22d8e2d1a76d93d8b33318db42b18c1e66bce0f2..85b8b45ccdef48feec95ea96707deaa1c2e71979 100644 (file)
@@ -23,12 +23,12 @@ from soc.experiment.l0_cache import TstL0CacheBuffer
 from soc.experiment.alu_hier import ALU, BranchALU
 from soc.fu.alu.alu_input_record import CompALUOpSubset
 
-from soc.decoder.power_enums import MicrOp, Function
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_decoder2 import Decode2ToExecute1Type
+from openpower.decoder.power_enums import MicrOp, Function
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_decoder2 import Decode2ToExecute1Type
 
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 
 
 from nmutil.latch import SRLatch
index aebb51de404f11d51aa2006f5ee0109f773e972f..0547bda6e0bce9dda11d9bace38b0e52d3999cc3 100644 (file)
@@ -1,4 +1,4 @@
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 from random import randint, seed
 from copy import deepcopy
index 954827216fa5d1c80d98dd223bda66433db38327..2f858b6cfa2f628821177bf8bceeb4b57bcb8e38 100644 (file)
@@ -15,7 +15,7 @@ from soc.fu.alu.alu_input_record import CompALUOpSubset
 from soc.fu.cr.cr_input_record import CompCROpSubset
 from soc.experiment.alu_hier import ALU, DummyALU
 from soc.experiment.compalu_multi import MultiCompUnit
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 from nmutil.gtkw import write_gtkw
 from nmigen import Module, Signal
 from nmigen.cli import rtlil
index fdafee52869af4790cbeb5eba3cb592f6b4137dd..4126fc6c5500c2e81cd3723ada8dee34d90e05be 100644 (file)
@@ -1,5 +1,5 @@
 from soc.fu.base_input_record import CompOpSubsetBase
-from soc.decoder.power_enums import MicrOp, Function, CryIn
+from openpower.decoder.power_enums import MicrOp, Function, CryIn
 from nmigen.hdl.rec import Layout
 
 
index afa39b1319b54c42134f55d7409efb46280c1642..107be930091e65d45dc984a5c4f26903e4ce7a98 100644 (file)
@@ -9,7 +9,7 @@ from nmigen.cli import rtlil
 from soc.fu.alu.input_stage import ALUInputStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index a5e8e12fd7afdcb3f71336e72471f862fb99a543..529381eaf0c799a455525bb5326ea5307f594fe4 100644 (file)
@@ -16,7 +16,7 @@ from nmigen.cli import rtlil
 from soc.fu.alu.main_stage import ALUMainStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index 7dd3f3833553c75e4536b4b2ff70f94c00d45f7f..5e32fbfde9d84e0c91dbf5a0171edae5a95f9f7d 100644 (file)
@@ -15,7 +15,7 @@ from nmigen.cli import rtlil
 from soc.fu.alu.output_stage import ALUOutputStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index 9e282c8c4c503b66254fde827955a009e88349e9..4d5fe2313bb9184e63fff9b3f81422650d1d9ba4 100644 (file)
@@ -14,10 +14,10 @@ from nmutil.pipemodbase import PipeModBase
 from nmutil.extend import exts, extz
 from soc.fu.alu.pipe_data import ALUInputData, ALUOutputData
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 
 # microwatt calc_ov function.
index 2cf8c3251cbeaf53eb12f975d11571a7317d65d6..49444e97b1449eeab0e7ea7927aaa51b18c34116 100644 (file)
@@ -5,7 +5,7 @@ from nmigen import (Module, Signal, Cat, Repl)
 from soc.fu.alu.pipe_data import ALUInputData, ALUOutputData
 from soc.fu.common_output_stage import CommonOutputStage
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 
 class ALUOutputStage(CommonOutputStage):
index 72c982fd872115abad59a4e902507fe776d1deb5..15f4211c23302954a158f3ac5ad7cda2f3b8db81 100644 (file)
@@ -1,7 +1,7 @@
 from soc.fu.test.common import (TestAccumulatorBase, skip_case)
 from soc.config.endian import bigendian
-from soc.simulator.program import Program
-from soc.decoder.isa.caller import SVP64State
+from openpower.simulator.program import Program
+from openpower.decoder.isa.caller import SVP64State
 from soc.sv.trans.svp64 import SVP64Asm
 
 
index e8edc2f933dcf9f4b60c17703f4c5983c19fad0a..e117f9c1ad90daae82f3124fe16ae2fc628b3437 100644 (file)
@@ -3,13 +3,13 @@ from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.pipeline import ALUBasePipe
 from soc.fu.test.common import (TestCase, TestAccumulatorBase, ALUHelpers)
 from soc.config.endian import bigendian
-from soc.decoder.isa.all import ISA
-from soc.simulator.program import Program
-from soc.decoder.selectable_int import SelectableInt
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.isa.caller import special_sprs
+from openpower.decoder.isa.all import ISA
+from openpower.simulator.program import Program
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.isa.caller import special_sprs
 import unittest
 from nmigen.cli import rtlil
 from nmutil.formaltest import FHDLTestCase
index 41665488c712b6080dd64c4668a99d565c27f6df..a27358b8b3789ebbb6e56603b0147faf78da04e9 100644 (file)
@@ -1,7 +1,7 @@
 from soc.fu.base_input_record import CompOpSubsetBase
 from nmigen.hdl.rec import Layout
 
-from soc.decoder.power_enums import MicrOp, Function
+from openpower.decoder.power_enums import MicrOp, Function
 
 
 class CompBROpSubset(CompOpSubsetBase):
index 89346e21526ef1f3bd65f6fc9dcef660a5047a27..780fcbeace7c2271492863588dbaf3a45ef9637a 100644 (file)
@@ -9,7 +9,7 @@ from nmigen.cli import rtlil
 from soc.fu.alu.input_stage import ALUInputStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.branch.br_input_record import CompBROpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index fcb214a34ba7f313662693083b75c1dd3de4fe84..94cf0024bb6a9cd6594884f9373ec47251ce4ea1 100644 (file)
@@ -16,7 +16,7 @@ from nmigen.cli import rtlil
 from soc.fu.branch.main_stage import BranchMainStage
 from soc.fu.branch.pipe_data import BranchPipeSpec
 from soc.fu.branch.br_input_record import CompBROpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index bf18db7269da4ef9ce4525b4c3b6af804a22ebc0..13bd79024ccbad8d7bd9e0aa013fe76f2e5c7f60 100644 (file)
@@ -32,10 +32,10 @@ from nmigen import (Module, Signal, Cat, Mux, Const, Array)
 from nmutil.pipemodbase import PipeModBase
 from nmutil.extend import exts
 from soc.fu.branch.pipe_data import BranchInputData, BranchOutputData
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 
 def br_ext(bd):
index cf13bcf287319e17973123fc53f67031ef5253e8..53d27cc0bd22a1edef440a2eacf2f27edd82be9d 100644 (file)
@@ -6,13 +6,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.regfile.regfiles import FastRegs
 from soc.config.endian import bigendian
 
index e36b14db340905cdf097cd084f1f3e9a0a6ca007..09a02006d54d104a5f677d35e5e63111301b59f6 100644 (file)
@@ -3,8 +3,8 @@
 # generation for subtraction, should happen here
 from nmigen import (Module, Signal)
 from nmutil.pipemodbase import PipeModBase
-from soc.decoder.power_enums import MicrOp
-from soc.decoder.power_enums import CryIn
+from openpower.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import CryIn
 
 
 class CommonInputStage(PipeModBase):
index 5a8b2f78c8f9ff8df2296119c997b739c9841cef..e5cf3a308506e23207e82acae6e4c4f16eea1807 100644 (file)
@@ -3,7 +3,7 @@
 from nmigen import (Module, Signal, Cat, Const)
 from nmutil.pipemodbase import PipeModBase
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 
 class CommonOutputStage(PipeModBase):
index a33801b08cd027697bc19061a9cea12e0df3ae5b..cd3bf716c33a124b58733fa5bb1ddbc87a0cb9df 100644 (file)
@@ -46,7 +46,7 @@ see:
 from nmigen import Elaboratable, Module
 from nmigen.cli import rtlil
 from soc.experiment.compalu_multi import MultiCompUnit
-from soc.decoder.power_enums import Function
+from openpower.decoder.power_enums import Function
 from soc.config.test.test_loadstore import TestMemPspec
 
 # pipeline / spec imports
index 153879baa65117a412ee2c364d2ddf88b357310a..350a611ca9e6fb0cdeda4c1f3603dfc552793a48 100644 (file)
@@ -6,7 +6,7 @@ from soc.fu.compunits.compunits import FunctionUnitBaseSingle
 from soc.experiment.alu_hier import DummyALU
 from soc.experiment.compalu_multi import MultiCompUnit
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 class MaskGenTestCase(FHDLTestCase):
index bed6202d9859379d2f72d24165cd19ef8e9a5621..73f84ee9bb0e6f3d544f5fbfba05a2e3a74106d7 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.alu.test.test_pipe_caller import get_cu_inputs
 from soc.fu.alu.test.test_pipe_caller import ALUTestCase  # creates the tests
index c570debe7777feb94e43af5c2f472757719ab077..7c85050e3eed956db109244ea678b4cfb4057d36 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function, spr_dict, SPR)
+from openpower.decoder.power_enums import (XER_bits, Function, spr_dict, SPR)
 
 from soc.fu.branch.test.test_pipe_caller import BranchTestCase, get_cu_inputs
 
index b1511f88fb0ae6a44580f5a5d2fa62ed515641a8..2031b20ed236c3e27c0e8299dd67982c01db72de 100644 (file)
@@ -7,10 +7,10 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.power_decoder import create_pdecode
-from soc.decoder.power_decoder2 import PowerDecode2, get_rdflags
-from soc.decoder.power_enums import Function
-from soc.decoder.isa.all import ISA
+from openpower.decoder.power_decoder import create_pdecode
+from openpower.decoder.power_decoder2 import PowerDecode2, get_rdflags
+from openpower.decoder.power_enums import Function
+from openpower.decoder.isa.all import ISA
 
 from soc.experiment.compalu_multi import find_ok  # hack
 from soc.config.test.test_loadstore import TestMemPspec
index 4e59fe3e72d303d3c7a33a721319b30703a777f7..6dc3dfdcbd64bd17ac47359d11337d6eaeaa8a12 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 # XXX bad practice: use of global variables
 from soc.fu.cr.test.test_pipe_caller import get_cu_inputs
index 35fc1ab161bddbeb4be9e63fdaab624511bb548b..06375b153a0c3f4c3b60d7694502755f76fb539b 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.div.test.test_pipe_caller import get_cu_inputs
 from soc.fu.div.test.test_pipe_caller import DivTestCases  # creates the tests
index a5fff3c2e27c23b08afb66b79cbc5e3c53a1b487..b394a4fb47f45bf5053314c29b409b2cb21bbf69 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.ldst.test.test_pipe_caller import LDSTTestCase, get_cu_inputs
 
index 6dfdaa3d045891eda7efbb466e212d7a08ad374e..947261ca0148f79f72bcbb56c74c23a1c0c4b49d 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.logical.test.test_pipe_caller import (LogicalTestCase,
                                                   get_cu_inputs)
index 5392ce36a1c096950972ae086b4ff1024e5d2af6..7db830b081a25ab1148aa2d365594022f0e6865e 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 # XXX bad practice: use of global variables
 from soc.fu.shift_rot.test.test_pipe_caller import get_cu_inputs
index 11c1ac3903e619bef3235eb86c91a2fffb3261df..3bdb90ea2b2146cf66e71030d90bb6e7b9945559 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.spr.test.test_pipe_caller import get_cu_inputs
 from soc.fu.spr.test.test_pipe_caller import SPRTestCase  # creates the tests
index 3a6c8dd6b6cfd884e4001903750c0597c43cda5b..68300ab68676e0a851e18b4176b9b6abd159c618 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.power_enums import (XER_bits, Function)
 
 from soc.fu.trap.test.test_pipe_caller import get_cu_inputs
 from soc.fu.trap.test.test_pipe_caller import TrapTestCase  # creates the tests
index 998ca298677b95f5e2239a65da7c41dc91bb17a6..7648eb4582928584f0bbe0f08d3af72c893597cb 100644 (file)
@@ -1,5 +1,5 @@
 from soc.fu.base_input_record import CompOpSubsetBase
-from soc.decoder.power_enums import (MicrOp, Function)
+from openpower.decoder.power_enums import (MicrOp, Function)
 
 
 class CompCROpSubset(CompOpSubsetBase):
index c24fb42cd111f9d517ccc2e5f46270dbf32d8f9b..0a46716530ef146993e9618f0e5595383cd48867 100644 (file)
@@ -14,7 +14,7 @@ from nmigen.cli import rtlil
 from soc.fu.cr.main_stage import CRMainStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index c840e32f585913fca49f1ef3f3d33c74fd196ce4..5f1edc7adb6fed02a2fc08b2a5ff0891905eabe9 100644 (file)
 from nmigen import (Module, Signal, Cat, Repl, Mux, Const, Array)
 from nmutil.pipemodbase import PipeModBase
 from soc.fu.cr.pipe_data import CRInputData, CROutputData
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 
 class CRMainStage(PipeModBase):
index b3b12e1d9f432d84f7f95e580c9c4c813b6bf82a..ec783718d893cf2ccd6952010d7ce0ed0412ccae 100644 (file)
@@ -6,13 +6,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 
 from soc.fu.test.common import TestAccumulatorBase, TestCase, ALUHelpers
index fc1d7520e0b094a0b8c32da2d026bd65c9a7cb15..9f63a63117ac5e0d4a72f4e7d0dc901d721fd504 100644 (file)
@@ -4,10 +4,10 @@
 from nmigen import (Module, Signal, Cat, Repl, Mux, Const, Array)
 from nmutil.pipemodbase import PipeModBase
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 from soc.fu.div.pipe_data import (CoreInputData,
                                   CoreInterstageData,
                                   CoreOutputData)
index 5a2c0f8b2d18e12c43a4576e74e86f38905b6f6b..997d654dc4a816e46a7be3e220c1502c5d5393d4 100644 (file)
@@ -16,7 +16,7 @@ from nmigen.cli import rtlil
 from soc.fu.logical.main_stage import LogicalMainStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index 92391730251a1e5661d3eb040e690e120bc9f18c..903770ddd0b50b0dc23c647654adcab265f6126e 100644 (file)
@@ -9,10 +9,10 @@ from nmutil.pipemodbase import PipeModBase
 from soc.fu.logical.pipe_data import LogicalInputData
 from soc.fu.div.pipe_data import DivMulOutputData
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 from soc.fu.div.pipe_data import CoreOutputData
 
 
index 8928f25cfc347a78594ecf7363442cf4140a8475..937bcbb029a8ce00231522dd17353637b7d36bc0 100644 (file)
@@ -5,10 +5,10 @@ from nmigen import (Module, Signal, Cat, Repl, Mux, Const, Array)
 from nmutil.pipemodbase import PipeModBase
 from soc.fu.div.pipe_data import DivInputData
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 from soc.fu.div.pipe_data import CoreInputData
 from ieee754.div_rem_sqrt_rsqrt.core import DivPipeCoreOperation
 from nmutil.util import eq32
index 5be75e64b9c4fc58901926ac15d8bf3272977273..7c4f9d6915dc15c95cc0b2263f026f1e99637dcf 100644 (file)
@@ -7,10 +7,10 @@ from nmigen import Module, Signal
 # Also, check out the cxxsim nmigen branch, and latest yosys from git
 from nmutil.sim_tmp_alternative import Simulator, Delay
 
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import XER_bits, Function
-from soc.decoder.isa.all import ISA
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import XER_bits, Function
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 
 from soc.fu.test.common import ALUHelpers
index c636a068ddc079b786e425f1480cedeed27b2fdc..30dc414c70bb74cfd6e69a5aac2bb6f37b1d03e7 100644 (file)
@@ -1,6 +1,6 @@
 import random
 import unittest
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 
 from soc.fu.test.common import (TestCase, TestAccumulatorBase, skip_case)
index 5a8d205fe7e3a2c5002b485756f535dc4fdad2a8..5d2970ca640a81758ab84071c1a4346fd541d6be 100644 (file)
@@ -1,5 +1,5 @@
 import unittest
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 
 from soc.fu.test.common import TestAccumulatorBase
index 57787e469bd25f236ba8ca72f1bb7c602cbc3402..38fd22cf252255e6ae7ed489bc9b4d8ebdecdc29 100644 (file)
@@ -1,7 +1,7 @@
 from soc.fu.base_input_record import CompOpSubsetBase
 from nmigen.hdl.rec import Layout
 
-from soc.decoder.power_enums import MicrOp, Function, LDSTMode
+from openpower.decoder.power_enums import MicrOp, Function, LDSTMode
 
 
 class CompLDSTOpSubset(CompOpSubsetBase):
index 6f489c731663cab7f3b135b42dd705ba99b47fd2..0bffbb1c790869b1d2b830bb451ee271196a19d4 100644 (file)
@@ -2,13 +2,13 @@ from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 
 
index ed0c75119326f54c553b16c627e753f229a9e7ea..d11f832df0b7e4d68957e85c40e83ca013b5aaf8 100644 (file)
@@ -9,7 +9,7 @@ from nmigen.cli import rtlil
 from soc.fu.alu.input_stage import ALUInputStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index e7cf254a8f31be2a2a783b47027261a7a9116ae1..179d9ba26926ebe63afefc57eb5ce56add73f5fb 100644 (file)
@@ -16,7 +16,7 @@ from nmigen.cli import rtlil
 from soc.fu.logical.main_stage import LogicalMainStage
 from soc.fu.alu.pipe_data import ALUPipeSpec
 from soc.fu.alu.alu_input_record import CompALUOpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index 811823df1d23dd6b22a7441eac5ecc0ba84cc24a..b6ea92871870ac90633e1f3386449ec8491363c4 100644 (file)
@@ -1,5 +1,5 @@
 from nmigen.hdl.rec import Layout
-from soc.decoder.power_enums import MicrOp, Function, CryIn
+from openpower.decoder.power_enums import MicrOp, Function, CryIn
 from soc.fu.base_input_record import CompOpSubsetBase
 
 
index c9cc4c869248cc4320b3e2e5f11d6f3c0d5ebb46..e56f3445f4cd538ede6c72b36995eaf620a5c19e 100644 (file)
@@ -14,10 +14,10 @@ from soc.fu.logical.bpermd import Bpermd
 from soc.fu.logical.popcount import Popcount
 from soc.fu.logical.pipe_data import LogicalOutputData
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 
 class LogicalMainStage(PipeModBase):
index 4a6694a851886f6fcd3d39562233088165b5fd59..73b48d1eecdd33a58245c5fba91685b53c07e52c 100644 (file)
@@ -7,7 +7,7 @@ from soc.fu.common_output_stage import CommonOutputStage
 from soc.fu.logical.pipe_data import (LogicalInputData, LogicalOutputData,
                                       LogicalOutputDataFinal)
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 
 class LogicalOutputStage(CommonOutputStage):
index 8508a4a25805222765976f7ddb3ff8526d808946..a6b87e3e3e04487f5aacaaee27e2bfc133e0115f 100644 (file)
@@ -7,13 +7,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 
 
index 76cff311ecae9a880ee24d2cc412c610a4650ca6..76dec27aa3264ddc5f10be1be9ccf39aabae1f19 100644 (file)
@@ -7,10 +7,10 @@ from nmutil.util import rising_edge
 from soc.experiment.mmu import MMU
 from soc.experiment.dcache import DCache
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
-from soc.decoder.power_decoder2 import decode_spr_num
-from soc.decoder.power_enums import MicrOp, XER_bits
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_decoder2 import decode_spr_num
+from openpower.decoder.power_enums import MicrOp, XER_bits
 
 from soc.experiment.pimem import PortInterface
 from soc.experiment.pimem import PortInterfaceBase
index f063e2ea638fb6002ec937b57974b69d44b50a4e..602fbab75769e3e843091ba3dcda31be9fee26d7 100644 (file)
@@ -1,5 +1,5 @@
 from soc.fu.base_input_record import CompOpSubsetBase
-from soc.decoder.power_enums import (MicrOp, Function)
+from openpower.decoder.power_enums import (MicrOp, Function)
 
 
 class CompMMUOpSubset(CompOpSubsetBase):
index 5037ceba4cdd464e204d137297588dba81cb3705..599b69a8d28afbe15d1bc692d09deba940a51a1c 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from soc.simple.test.test_issuer import TestRunner
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 import unittest
 
index 2552b14b03bb5ac08c6a14f86bac3694f1220500..f1a80fc7462f35a32b4e42d8c9593162f0d3f05d 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from soc.simple.test.test_runner import TestRunner
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 import unittest
 
index f9f873e2085881a3095fe3a69ccb73e857cea151..ee01ca5bfbc41c038dd738ba066026477ccd4a24 100644 (file)
@@ -4,13 +4,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 from soc.consts import MSR
 
index e45b306522286c74dffce13c8c8ee20f618b542e..df489dbcc81b3f1647afa7740d3e354e37d40288 100644 (file)
@@ -6,13 +6,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 from soc.consts import MSR
 
index 0cf767f341668663a4f4c736af5aea5625c1c0d2..f1837baa2e0c5e3183a2fe84778f5c82f8785cee 100644 (file)
@@ -49,15 +49,15 @@ from nmutil.formaltest import FHDLTestCase
 from nmutil.stageapi import StageChain
 from nmigen.cli import rtlil
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 from soc.fu.mul.pipe_data import CompMULOpSubset, MulPipeSpec
 from soc.fu.mul.pre_stage import MulMainStage1
 from soc.fu.mul.main_stage import MulMainStage2
 from soc.fu.mul.post_stage import MulMainStage3
 
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 import unittest
 
 
index 1f321cbd0a7b145c6440a4d816a4ff14f600e236..a4904464bdc1c92036d3570a0a339c6326aad24c 100644 (file)
@@ -1,7 +1,7 @@
 from soc.fu.base_input_record import CompOpSubsetBase
 from nmigen.hdl.rec import Layout
 
-from soc.decoder.power_enums import MicrOp, Function, CryIn
+from openpower.decoder.power_enums import MicrOp, Function, CryIn
 
 
 class CompMULOpSubset(CompOpSubsetBase):
index 14d2d91114f5e8b318028bde087c38896da55be2..0b45c791ade830433a5cde5ce2638d2eb7e07d6e 100644 (file)
@@ -11,7 +11,7 @@ from nmutil.pipemodbase import PipeModBase
 from soc.fu.div.pipe_data import DivMulOutputData
 from soc.fu.mul.pipe_data import MulOutputData
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 
 class MulMainStage3(PipeModBase):
index a0099318ceffdf8c69aa7d333ac86fcef8250ba0..140dd8fb143b0694b566e4de1dd0bf72069d38ad 100644 (file)
@@ -8,13 +8,13 @@ import power_instruction_analyzer as pia
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 
 from soc.fu.test.common import (TestAccumulatorBase, TestCase, ALUHelpers)
index 36e5faf4a875b241ad383b39f4b69d00acfd16d9..e4f27f33257aae985f3ab0f87b09c8c5a438831e 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 from soc.fu.mul.test.helper import MulTestHelper
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 from soc.fu.test.common import TestAccumulatorBase, skip_case
 
index d136361260e596f737eb50e94953efb56c1d2a50..56d8795a80c25e39e996a8d0c93e5edac35b4959 100644 (file)
@@ -1,6 +1,6 @@
 import unittest
 from soc.fu.mul.test.helper import MulTestHelper
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.config.endian import bigendian
 from soc.fu.test.common import (TestAccumulatorBase)
 import random
index e944e868af6ebd75d80ca34fae646620d9b4e692..a9318eb5887f5ff8ad9551375c703d22cdb2570b 100644 (file)
@@ -1,7 +1,7 @@
 from nmutil.concurrentunit import PipeContext
 from nmutil.dynamicpipe import SimpleHandshakeRedir
 from nmigen import Signal
-from soc.decoder.power_decoder2 import Data
+from openpower.decoder.power_decoder2 import Data
 from soc.fu.regspec import get_regspec_bitwidth
 
 
index 8e5f9ecdd211f03e0b302d4cb4dd761eb90daee9..dde891ecb228be041c5aef13c083aa082588b2ed 100644 (file)
@@ -15,7 +15,7 @@ from soc.fu.shift_rot.main_stage import ShiftRotMainStage
 from soc.fu.shift_rot.rotator import right_mask, left_mask
 from soc.fu.shift_rot.pipe_data import ShiftRotPipeSpec
 from soc.fu.shift_rot.sr_input_record import CompSROpSubset
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 from soc.consts import field
 
 import unittest
index 1e4b8b1afcf89c0b835ec6a193ee804b1d26ba93..0be12d1b2fd08a9a90456fd81eac606c4f0117bc 100644 (file)
@@ -11,11 +11,11 @@ from nmutil.pipemodbase import PipeModBase
 from soc.fu.shift_rot.pipe_data import (ShiftRotOutputData,
                                        ShiftRotInputData)
 from ieee754.part.partsig import PartitionedSignal
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 from soc.fu.shift_rot.rotator import Rotator
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 
 class ShiftRotMainStage(PipeModBase):
index 18698a6271142a694bf97983fcbba4a1e83890b5..94cbad061a0d70ec400e5993a4bb8346ca0f130b 100644 (file)
@@ -1,7 +1,7 @@
 from soc.fu.base_input_record import CompOpSubsetBase
 from nmigen.hdl.rec import Layout
 
-from soc.decoder.power_enums import MicrOp, Function, CryIn
+from openpower.decoder.power_enums import MicrOp, Function, CryIn
 
 
 class CompSROpSubset(CompOpSubsetBase):
index 385899d174bd2b1b61cf8fa1270a4d3f0dcff41b..27a1d4c495526b22a92246aa20599c2c7d4a24a9 100644 (file)
@@ -3,7 +3,7 @@ from nmigen.back.pysim import Simulator, Delay, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 from soc.fu.shift_rot.maskgen import MaskGen
-from soc.decoder.helpers import MASK
+from openpower.decoder.helpers import MASK
 import random
 import unittest
 
index de7f9c06c78e26a94c9fb9e72c6be4f7ec2c45bf..fae3d0291894e7586811a8637b907857f8e24f58 100644 (file)
@@ -4,13 +4,13 @@ from soc.fu.alu.alu_input_record import CompALUOpSubset
 from soc.fu.shift_rot.pipeline import ShiftRotBasePipe
 from soc.fu.test.common import TestAccumulatorBase, TestCase, ALUHelpers
 from soc.config.endian import bigendian
-from soc.decoder.isa.all import ISA
-from soc.simulator.program import Program
-from soc.decoder.selectable_int import SelectableInt
-from soc.decoder.power_enums import (XER_bits, Function, CryIn)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.isa.all import ISA
+from openpower.simulator.program import Program
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.decoder.power_enums import (XER_bits, Function, CryIn)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.isa.caller import ISACaller, special_sprs
 import unittest
 from nmigen.cli import rtlil
 from nmigen import Module, Signal
index 2c7121152deb263ec87828803ebe5dbc876713f5..1431a0386d595a1252c19c1254d0c050295d748e 100644 (file)
@@ -18,10 +18,10 @@ from soc.fu.spr.main_stage import SPRMainStage
 from soc.fu.spr.pipe_data import SPRPipeSpec
 from soc.fu.spr.spr_input_record import CompSPROpSubset
 
-from soc.decoder.power_decoder2 import decode_spr_num
-from soc.decoder.power_enums import MicrOp, SPR, XER_bits
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_decoder2 import decode_spr_num
+from openpower.decoder.power_enums import MicrOp, SPR, XER_bits
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 # use POWER numbering. sigh.
 def xer_bit(name):
index cca0c24e3c94ac9e18071310701198d31449fa63..6d9d13a6b85985d456da76347c6ebcda69f98dd9 100644 (file)
@@ -7,11 +7,11 @@
 from nmigen import (Module, Signal, Cat)
 from nmutil.pipemodbase import PipeModBase
 from soc.fu.spr.pipe_data import SPRInputData, SPROutputData
-from soc.decoder.power_enums import MicrOp, SPRfull, SPRreduced, XER_bits
+from openpower.decoder.power_enums import MicrOp, SPRfull, SPRreduced, XER_bits
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
-from soc.decoder.power_decoder2 import decode_spr_num
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_decoder2 import decode_spr_num
 
 
 class SPRMainStage(PipeModBase):
index a19d2ba3b431a9dadf8d69e9bc97adad080b4f3f..4440e71e6f1d1b3a103ee39be6c39b9468152d2c 100644 (file)
@@ -1,5 +1,5 @@
 from soc.fu.base_input_record import CompOpSubsetBase
-from soc.decoder.power_enums import (MicrOp, Function)
+from openpower.decoder.power_enums import (MicrOp, Function)
 
 
 class CompSPROpSubset(CompOpSubsetBase):
index f2bc03c7984273a9fc3c042db0744180f9a30afe..87ba83ca70ba754f1595a04dac66ace5542f9162 100644 (file)
@@ -6,13 +6,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 from soc.consts import MSR
 
index c21ebd7f776ef7397ebecca6249f3536ec8fc2ee..359c7d6de31d0641dfc78aa40f27a5c8203ec597 100644 (file)
@@ -6,7 +6,7 @@ Bugreports:
 import inspect
 import functools
 import types
-from soc.decoder.power_enums import XER_bits, CryIn, spr_dict
+from openpower.decoder.power_enums import XER_bits, CryIn, spr_dict
 from soc.regfile.util import fast_reg_to_spr, slow_reg_to_spr  # HACK!
 from soc.regfile.regfiles import XERRegs, FastRegs
 
index 85d1abd9e943837bf6b72e38d27563e3342370eb..c88c0ac20f584b70fe661dc5b20a96680c8f3d93 100644 (file)
@@ -21,7 +21,7 @@ from nmutil.formaltest import FHDLTestCase
 
 from soc.consts import MSR, MSRb, PI, TT, field
 
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 
 from soc.fu.trap.main_stage import TrapMainStage
 from soc.fu.trap.pipe_data import TrapPipeSpec
index 95dabe7fa60844311d69641d3ae1e172e5ca565a..5b272e63c44a3a85568a24f7d86561d52b44c797 100644 (file)
@@ -14,11 +14,11 @@ from nmutil.pipemodbase import PipeModBase
 from nmutil.extend import exts
 from soc.fu.trap.pipe_data import TrapInputData, TrapOutputData
 from soc.fu.branch.main_stage import br_ext
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 from soc.experiment.mem_types import LDSTException
 
-from soc.decoder.power_fields import DecodeFields
-from soc.decoder.power_fieldsn import SignalBitRange
+from openpower.decoder.power_fields import DecodeFields
+from openpower.decoder.power_fieldsn import SignalBitRange
 
 from soc.consts import MSR, PI, TT, field, field_slice
 
index ed9d72d2a3bdde81f191545a533da4507a6c1b9a..f9fa09ce5a2d87251924f9a5b9456b4599d51690 100644 (file)
@@ -7,13 +7,13 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import ISACaller, special_sprs
-from soc.decoder.power_decoder import (create_pdecode)
-from soc.decoder.power_decoder2 import (PowerDecode2)
-from soc.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
-from soc.decoder.selectable_int import SelectableInt
-from soc.simulator.program import Program
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import ISACaller, special_sprs
+from openpower.decoder.power_decoder import (create_pdecode)
+from openpower.decoder.power_decoder2 import (PowerDecode2)
+from openpower.decoder.power_enums import (XER_bits, Function, MicrOp, CryIn)
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.simulator.program import Program
+from openpower.decoder.isa.all import ISA
 from soc.config.endian import bigendian
 from soc.consts import MSR
 
index 44fd5d6b51f249b19c123571927c56d1799c330e..0d08f5ecb0d469ddfc5af5eadecee160eff4fb06 100644 (file)
@@ -1,5 +1,5 @@
 from soc.fu.base_input_record import CompOpSubsetBase
-from soc.decoder.power_enums import (MicrOp, Function)
+from openpower.decoder.power_enums import (MicrOp, Function)
 from soc.consts import TT
 from soc.experiment.mem_types import LDSTException
 
index 167ae5118a37d838227cace3b53da9b15f2e41fb..82019a2558c3ebd897555f51c1040e16b80ece28 100644 (file)
@@ -26,7 +26,7 @@ Links:
 
 from soc.regfile.regfile import RegFile, RegFileArray, RegFileMem
 from soc.regfile.virtual_port import VirtualRegPort
-from soc.decoder.power_enums import SPRfull, SPRreduced
+from openpower.decoder.power_enums import SPRfull, SPRreduced
 
 
 # "State" Regfile
index e5f095dc9bff939896e5330cf6cbe02ea9dd7023..7f4e8778e9fc60373f8983c27b5cab5989a0df4b 100644 (file)
@@ -1,5 +1,5 @@
 from soc.regfile.regfiles import FastRegs
-from soc.decoder.power_enums import SPRfull as SPR, spr_dict
+from openpower.decoder.power_enums import SPRfull as SPR, spr_dict
 
 # note that we can get away with using SPRfull here because the values
 # (numerical values) are what is used for lookup.
index 4dec3cf21adbc6a7c72c077a92dc3a1585531c51..9c3d58d8a9300056ab3557b095bf6e5942d8fb35 100644 (file)
@@ -6,7 +6,7 @@ from nmigen import Module, Signal, Cat, Array, Const, Repl, Elaboratable
 from nmutil.iocontrol import RecordObject
 from nmutil.nmoperator import eq, shape, cat
 
-from soc.decoder.power_decoder2 import Decode2ToExecute1Type
+from openpower.decoder.power_decoder2 import Decode2ToExecute1Type
 
 
 class Instruction(Decode2ToExecute1Type):
index 215bcaab8830fc9b203e66db76b0e0c8d2677646..f4cf959de60f9605e1f3175b1ba3a29e20c262d8 100644 (file)
@@ -22,22 +22,22 @@ before allowing a new instruction to proceed.
 from nmigen import Elaboratable, Module, Signal, ResetSignal, Cat, Mux
 from nmigen.cli import rtlil
 
-from soc.decoder.power_decoder2 import PowerDecodeSubset
-from soc.decoder.power_regspec_map import regspec_decode_read
-from soc.decoder.power_regspec_map import regspec_decode_write
+from openpower.decoder.power_decoder2 import PowerDecodeSubset
+from openpower.decoder.power_regspec_map import regspec_decode_read
+from openpower.decoder.power_regspec_map import regspec_decode_write
 
 from nmutil.picker import PriorityPicker
 from nmutil.util import treereduce
 
 from soc.fu.compunits.compunits import AllFunctionUnits
 from soc.regfile.regfiles import RegFiles
-from soc.decoder.decode2execute1 import Decode2ToExecute1Type
-from soc.decoder.decode2execute1 import IssuerDecode2ToOperand
-from soc.decoder.power_decoder2 import get_rdflags
-from soc.decoder.decode2execute1 import Data
+from openpower.decoder.decode2execute1 import Decode2ToExecute1Type
+from openpower.decoder.decode2execute1 import IssuerDecode2ToOperand
+from openpower.decoder.power_decoder2 import get_rdflags
+from openpower.decoder.decode2execute1 import Data
 from soc.experiment.l0_cache import TstL0CacheBuffer  # test only
 from soc.config.test.test_loadstore import TestMemPspec
-from soc.decoder.power_enums import MicrOp
+from openpower.decoder.power_enums import MicrOp
 from soc.config.state import CoreState
 
 import operator
index d2c248d50bef2566784967afa2429089c207f0d6..4afa0d7a2f3a2551c9402573b729c8c5ee3ea152 100644 (file)
@@ -23,16 +23,16 @@ import sys
 
 from nmigen.lib.coding import PriorityEncoder
 
-from soc.decoder.power_decoder import create_pdecode
-from soc.decoder.power_decoder2 import PowerDecode2, SVP64PrefixDecoder
-from soc.decoder.decode2execute1 import IssuerDecode2ToOperand
-from soc.decoder.decode2execute1 import Data
+from openpower.decoder.power_decoder import create_pdecode
+from openpower.decoder.power_decoder2 import PowerDecode2, SVP64PrefixDecoder
+from openpower.decoder.decode2execute1 import IssuerDecode2ToOperand
+from openpower.decoder.decode2execute1 import Data
 from soc.experiment.testmem import TestMemory # test only for instructions
 from soc.regfile.regfiles import StateRegs, FastRegs
 from soc.simple.core import NonProductionCore
 from soc.config.test.test_loadstore import TestMemPspec
 from soc.config.ifetch import ConfigFetchUnit
-from soc.decoder.power_enums import (MicrOp, SVP64PredInt, SVP64PredCR,
+from openpower.decoder.power_enums import (MicrOp, SVP64PredInt, SVP64PredCR,
                                      SVP64PredMode)
 from soc.consts import (CR, SVP64CROffs)
 from soc.debug.dmi import CoreDebug, DMIInterface
@@ -399,7 +399,7 @@ class TestIssuerInternal(Elaboratable):
         be done through multiple reads, extracting one relevant at a time.
         later, a faster way would be to use the 32-bit-wide CR port but
         this is more complex decoding, here.  equivalent code used in
-        ISACaller is "from soc.decoder.isa.caller import get_predcr"
+        ISACaller is "from openpower.decoder.isa.caller import get_predcr"
 
         note: this ENTIRE FSM is not to be called when svp64 is disabled
         """
index f25d250cc3d364afe96bae7e460d3271bdb891c7..674901018e3bd132fdf8001842d3a2f89a3fb949 100644 (file)
@@ -9,16 +9,16 @@ from nmigen.back.pysim import Simulator, Delay, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
-from soc.decoder.isa.caller import special_sprs
-from soc.decoder.power_decoder import create_pdecode
-from soc.decoder.power_decoder2 import PowerDecode2
-from soc.decoder.selectable_int import SelectableInt
-from soc.decoder.isa.all import ISA
+from openpower.decoder.isa.caller import special_sprs
+from openpower.decoder.power_decoder import create_pdecode
+from openpower.decoder.power_decoder2 import PowerDecode2
+from openpower.decoder.selectable_int import SelectableInt
+from openpower.decoder.isa.all import ISA
 
 # note that for testing using SPRfull should be ok here
-from soc.decoder.power_enums import SPRfull as SPR, spr_dict, Function, XER_bits
+from openpower.decoder.power_enums import SPRfull as SPR, spr_dict, Function, XER_bits
 from soc.config.test.test_loadstore import TestMemPspec
-from soc.config.endian import bigendian
+from openpower.endian import bigendian
 
 from soc.simple.core import NonProductionCore
 from soc.experiment.compalu_multi import find_ok  # hack
index 3170cfbb423f0af62ddd868a6eb9778c281d5893..92a69ae2eb55b6cd544f5d31ce709c3a5292ecca 100644 (file)
@@ -21,8 +21,8 @@ from soc.fu.cr.test.test_pipe_caller import CRTestCase
 # from soc.fu.branch.test.test_pipe_caller import BranchTestCase
 # from soc.fu.spr.test.test_pipe_caller import SPRTestCase
 from soc.fu.ldst.test.test_pipe_caller import LDSTTestCase
-from soc.simulator.test_sim import (GeneralTestCases, AttnTestCase)
-# from soc.simulator.test_helloworld_sim import HelloTestCases
+from openpower.simulator.test_sim import (GeneralTestCases, AttnTestCase)
+# from openpower.simulator.test_helloworld_sim import HelloTestCases
 
 
 if __name__ == "__main__":
index c666a81520e0140dc97c59f027a908b855cc69a3..a7af832847f028a766bddd111dfadca34b031404 100644 (file)
@@ -1,4 +1,4 @@
-from soc.simulator.program import Program
+from openpower.simulator.program import Program
 from soc.fu.test.common import TestCase
 
 import unittest
@@ -8,7 +8,7 @@ from nmigen.back.pysim import Simulator, Delay, Settle
 from nmutil.formaltest import FHDLTestCase
 
 from soc.simple.issuer import TestIssuer
-from soc.config.endian import bigendian
+from openpower.endian import bigendian
 
 
 from soc.config.test.test_loadstore import TestMemPspec
index 2cfd60ee956d8206604d6a2c4c6ea1db4fab5cea..85a14869ba76ebd7b070ed398cf95e459a4ea13c 100644 (file)
@@ -13,12 +13,12 @@ from nmutil.sim_tmp_alternative import Simulator, Settle
 from nmutil.formaltest import FHDLTestCase
 from nmutil.gtkw import write_gtkw
 from nmigen.cli import rtlil
-from soc.decoder.isa.caller import special_sprs, SVP64State
-from soc.decoder.isa.all import ISA
-from soc.config.endian import bigendian
+from openpower.decoder.isa.caller import special_sprs, SVP64State
+from openpower.decoder.isa.all import ISA
+from openpower.endian import bigendian
 
-from soc.decoder.power_decoder import create_pdecode
-from soc.decoder.power_decoder2 import PowerDecode2
+from openpower.decoder.power_decoder import create_pdecode
+from openpower.decoder.power_decoder2 import PowerDecode2
 from soc.regfile.regfiles import StateRegs
 
 from soc.simple.issuer import TestIssuerInternal
index 5df66ce1678b70e088a8492c489fd7b353507d51..e8f592fbffde4972d5a5b93e6a897019b7f0fdc1 100644 (file)
@@ -17,7 +17,7 @@ Bugtracker: https://bugs.libre-soc.org/show_bug.cgi?id=578
 import os, sys
 from collections import OrderedDict
 
-from soc.decoder.isa.caller import (SVP64PrefixFields, SV64P_MAJOR_SIZE,
+from openpower.decoder.isa.caller import (SVP64PrefixFields, SV64P_MAJOR_SIZE,
                                     SV64P_PID_SIZE, SVP64RMFields,
                                     SVP64RM_EXTRA2_SPEC_SIZE,
                                     SVP64RM_EXTRA3_SPEC_SIZE,
@@ -25,9 +25,9 @@ from soc.decoder.isa.caller import (SVP64PrefixFields, SV64P_MAJOR_SIZE,
                                     SVP64RM_MMODE_SIZE, SVP64RM_MASK_SIZE,
                                     SVP64RM_SUBVL_SIZE, SVP64RM_EWSRC_SIZE,
                                     SVP64RM_ELWIDTH_SIZE)
-from soc.decoder.pseudo.pagereader import ISA
-from soc.decoder.power_svp64 import SVP64RM, get_regtype, decode_extra
-from soc.decoder.selectable_int import SelectableInt
+from openpower.decoder.pseudo.pagereader import ISA
+from openpower.decoder.power_svp64 import SVP64RM, get_regtype, decode_extra
+from openpower.decoder.selectable_int import SelectableInt
 from soc.consts import SVP64MODE