remove CacheTagArray in icache.py
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 30 Jan 2022 22:08:38 +0000 (22:08 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Sun, 30 Jan 2022 22:08:38 +0000 (22:08 +0000)
src/soc/experiment/icache.py

index 71b3f989c2f1e6dcfc3fa2141e17d213f6aabcd0..697e8e25fe3eee2bb08f75ae25724d354c8d4b39 100644 (file)
@@ -187,10 +187,6 @@ assert (REAL_ADDR_BITS == (TAG_BITS + ROW_BITS + ROW_OFF_BITS)), \
 # The cache tags LUTRAM has a row per set. Vivado is a pain and will
 # not handle a clean (commented) definition of the cache tags as a 3d
 # memory. For now, work around it by putting all the tags
-def CacheTagArray():
-    return Array(Signal(TAG_RAM_WIDTH, name="tag%d" % x) \
-                 for x in range(NUM_LINES))
-
 def CacheValidsArray():
     return Array(Signal(NUM_WAYS, name="tag_valids%d" % x) \
                  for x in range(NUM_LINES))