use copy of FHDLTestCase
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 4 Jun 2020 12:48:17 +0000 (13:48 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 4 Jun 2020 12:48:17 +0000 (13:48 +0100)
34 files changed:
src/soc/decoder/formal/proof_decoder.py
src/soc/decoder/formal/proof_decoder2.py
src/soc/decoder/isa/test_caller.py
src/soc/decoder/test/test_decoder_gas.py
src/soc/decoder/test/test_power_decoder.py
src/soc/experiment/proof_datamerger.py
src/soc/fu/alu/formal/proof_input_stage.py
src/soc/fu/alu/formal/proof_main_stage.py
src/soc/fu/alu/formal/proof_output_stage.py
src/soc/fu/alu/test/test_pipe_caller.py
src/soc/fu/branch/formal/proof_input_stage.py
src/soc/fu/branch/formal/proof_main_stage.py
src/soc/fu/branch/test/test_pipe_caller.py
src/soc/fu/compunits/formal/proof_fu.py
src/soc/fu/compunits/formal/test_compunit.py
src/soc/fu/compunits/test/test_compunit.py
src/soc/fu/cr/formal/proof_main_stage.py
src/soc/fu/cr/test/test_pipe_caller.py
src/soc/fu/div/formal/proof_main_stage.py
src/soc/fu/div/test/test_pipe_caller.py
src/soc/fu/logical/formal/proof_bpermd.py
src/soc/fu/logical/formal/proof_input_stage.py
src/soc/fu/logical/formal/proof_main_stage.py
src/soc/fu/logical/test/test_countzero.py
src/soc/fu/logical/test/test_pipe_caller.py
src/soc/fu/mul/formal/proof_main_stage.py
src/soc/fu/mul/test/test_pipe_caller.py
src/soc/fu/shift_rot/formal/proof_main_stage.py
src/soc/fu/shift_rot/test/test_maskgen.py
src/soc/fu/shift_rot/test/test_pipe_caller.py
src/soc/minerva/test/test_cache.py
src/soc/regfile/formal/proof_regfile.py
src/soc/regfile/formal/proof_regfile_array.py
src/soc/regfile/formal/proof_regfile_binary.py

index 6aa1bc145db5f8f667b7ddffa10777b8830358a5..e1d793eafbe083c9a1b98ccc656d897926329c4b 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal, Elaboratable, Cat
 from nmigen.asserts import Assert, AnyConst, Assume
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 
 from soc.decoder.power_decoder import create_pdecode, PowerOp
 from soc.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
index 981a4d228ef406aa89f7f41595ef71269a308d9c..d36ec447dadb966a004bc628b21ba82538ed0427 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal, Elaboratable, Cat, Repl
 from nmigen.asserts import Assert, AnyConst
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 
 from soc.decoder.power_decoder import create_pdecode, PowerOp
 from soc.decoder.power_enums import (In1Sel, In2Sel, In3Sel,
index ea2bca9ed71752015787f3f3d81bdf19ac300dda..b4689dd635f6e0231b2a775b5642c806743c8da5 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 import unittest
 from soc.decoder.isa.caller import ISACaller
 from soc.decoder.power_decoder import (create_pdecode)
index 0886257511feb755d0f60eff68cd72b8f22bb173..80206db4a3cd3528219d7272f9e310edcf90a28f 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 import unittest
 from soc.decoder.power_decoder import (create_pdecode)
 from soc.decoder.power_enums import (Function, InternalOp,
index 6f3798360822b11c2407126231a7f82e79d4b82e..a03589c359fdce3c2914f5681cb6897305f3b236 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import os
 import unittest
index cfa936e1cfb0241201a3fce0b4f5d36aa57d1b5d..6fe7aead21686c3e24b30e0d69841060c39eb4f3 100644 (file)
@@ -5,7 +5,7 @@
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, AnySeq, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.experiment.l0_cache import DataMerger
index 53e1dc361bf28c0886301ab766b3c5c1e4bbbfbf..f9b7160069596ca2eb4d7dd325adab5601c21f42 100644 (file)
@@ -3,7 +3,7 @@
 
 from nmigen import Module, Signal, Elaboratable, Mux
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.alu.input_stage import ALUInputStage
index c668228071e2b93710829bfcb458c93934d81efd..c1e71536d65546794734e336a5b77948285a9b5b 100644 (file)
@@ -10,7 +10,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.alu.main_stage import ALUMainStage
index d76a5e2743334b95a0e07315a8a93a05d543dbba..8148d1eb0177ad2b73437066e04a03c55270af37 100644 (file)
@@ -9,7 +9,7 @@ Links:
 
 from nmigen import Module, Signal, Elaboratable, Mux, Cat, signed
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.alu.output_stage import ALUOutputStage
index 50e8e9b11c01153f6f3f7ebbe36b5658db67a66f..c6acbae1028215b04b2ef9bb0125c005866a2253 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index 3ac3ac891de5f80b933201c38420bd8ddfdd4596..0ce65226c0052e058ccf2bb8671c212b1aa985a6 100644 (file)
@@ -3,7 +3,7 @@
 
 from nmigen import Module, Signal, Elaboratable, Mux
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.alu.input_stage import ALUInputStage
index 32a29651dd378ed5162fce3ae9124d56804eb165..7a94c1d3e594bdf5b016cd4af5efce8ee951c7a2 100644 (file)
@@ -9,7 +9,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, Array)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmutil.extend import exts
 from nmigen.cli import rtlil
 
index 924a50837d62041dc744ce8f2d6c183a77885deb..5388e13bc0c2d15005d6c845c6a27ccf9afe0673 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index c9ecd623e70c1ef6ad6ce276d1aab35fa4acae91..56c75b7ba3f3bf57b02038f85a6e42a6e14b8fa7 100644 (file)
@@ -8,7 +8,7 @@ from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, ResetSignal)
 from nmigen.asserts import (Assert, AnyConst, Assume, Cover, Initial,
                             Rose, Fell, Stable, Past)
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 
index 2e6850aaa5da45eb2c3c47162d7fc59ec5f298ca..956b5d7730cde0ae75ba7a267d3d53c1c9a571ae 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Signal, Module
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 from soc.fu.compunits.compunits import FunctionUnitBaseSingle
 from soc.experiment.alu_hier import DummyALU
index c4596cef23c3badace6e623426c260d513f4e81c..293a5ef789db5106b65a67d0b71be20c7538c92d 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index 0bf37299769e15992d4691f563c2a835bf86252c..96dbe4bf5c344e7138c9ec602597e545d97d3001 100644 (file)
@@ -8,7 +8,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, Array)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.cr.main_stage import CRMainStage
index b67ed83150c08c097c9c84407a20321d67f61d83..6f6fd2d3d01872e63d7fce989265dcf96e99b752 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index 456ff815348a80f243bcdeddf1d51d1fc78a5092..f3baa1b67257fe34b770822581829d87cda6a4bb 100644 (file)
@@ -9,7 +9,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.lib.coding import PriorityEncoder
 from nmigen.cli import rtlil
 
index a9be36956fc08df0ed5ac8f732db891ed2d5f289..3b58490deb247e0e4f852887897aad44aa2e4e08 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index e987f88b7955636287ab4e9984cfddc7c8d94f7d..02f6544276d5616bd9f561ad15d3237159e96569 100644 (file)
@@ -4,7 +4,7 @@
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.logical.bpermd import Bpermd
index c80b6fb390df307b5cd39427fad4de42be49aa87..16f3df0432413d6eb963db6d945c88eb537368d2 100644 (file)
@@ -3,7 +3,7 @@
 
 from nmigen import Module, Signal, Elaboratable, Mux
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.alu.input_stage import ALUInputStage
index 6cb31ead0a045a8f059a712fb969e72dcee5503d..de1c788d4fbf482a15b72ce86053ca56ee8b828b 100644 (file)
@@ -9,7 +9,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.lib.coding import PriorityEncoder
 from nmigen.cli import rtlil
 
index 43aae01d5302a0458396013ab6fd1ff1e70bf8fc..3a78fd8f339d3a3cdf8a0d529c20c4b9bc222b54 100644 (file)
@@ -2,7 +2,7 @@
 from nmigen import Module, Signal
 from nmigen.cli import rtlil
 from nmigen.back.pysim import Simulator, Delay
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 import unittest
 from soc.fu.logical.countzero import ZeroCounter
 
index 480603ab3429d74f7f74739d9b97f286750b79b3..f64ea5311d13fc97af91439239456abad8fe82f3 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index afcf12e7dcb6837b776cc0e108876b415b7b605e..0e44e5e8ecf732f670904ff79fac5ce2987dde3e 100644 (file)
@@ -4,7 +4,7 @@
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.shift_rot.main_stage import ShiftRotMainStage
index 2a13a4cdbb7e730cc17f672158b6ec367f644b11..88ac54999d207114ac5fc344785ad50506b8dbd2 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index 968eecdd599dd67847ab665c01d819222b69ecab..9b083a54564bf241a36fa419a227c813f6854559 100644 (file)
@@ -8,7 +8,7 @@ Links:
 from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed)
 from nmigen.asserts import Assert, AnyConst, Assume, Cover
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 
 from soc.fu.shift_rot.main_stage import ShiftRotMainStage
index 5c7c294169e08a273fffe26f6908a505131a0239..385899d174bd2b1b61cf8fa1270a4d3f0dcff41b 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Signal, Module
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 from soc.fu.shift_rot.maskgen import MaskGen
 from soc.decoder.helpers import MASK
index db4416967cae3ebb1712a21454f9fd9cfd1d861e..f73f9b2374e68730d2041a54202448dbaaedde16 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import Module, Signal
 from nmigen.back.pysim import Simulator, Delay, Settle
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 from soc.decoder.isa.caller import ISACaller, special_sprs
index e52f034a3d82d4bbc25d1a548fcc83252db95bfc..c56a465d82077d0eab4608f61234b9af1c83ab0e 100644 (file)
@@ -1,6 +1,6 @@
 from nmigen import *
 from nmigen.utils import log2_int
-from nmigen.test.utils import *
+from nmutil.formaltest import *
 from nmigen.asserts import *
 
 from ..cache import L1Cache
index 6dc89144d8c324426f6e246b498842dedd961f5e..b988ad326ef376003ae3bd3041462dc9d07654b7 100644 (file)
@@ -4,7 +4,7 @@ from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, ResetSignal)
 from nmigen.asserts import (Assert, AnySeq, Assume, Cover, Initial,
                             Rose, Fell, Stable, Past)
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 
index b35c51eb516ae134b36c9db3e13ac90be08a3559..fc7c293c9179be18021c928b0c7dc74c59c68e86 100644 (file)
@@ -4,7 +4,7 @@ from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, ResetSignal, Array)
 from nmigen.asserts import (Assert, AnySeq, Assume, Cover, Initial,
                             Rose, Fell, Stable, Past)
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 
index d47453a0f9dd7a555fe6a5e5c00c3083b9bd0b35..1ae6b73c2361911161b9049c72f6864d81bd16b0 100644 (file)
@@ -4,7 +4,7 @@ from nmigen import (Module, Signal, Elaboratable, Mux, Cat, Repl,
                     signed, ResetSignal, Array)
 from nmigen.asserts import (Assert, AnySeq, Assume, Cover, Initial,
                             Rose, Fell, Stable, Past)
-from nmigen.test.utils import FHDLTestCase
+from nmutil.formaltest import FHDLTestCase
 from nmigen.cli import rtlil
 import unittest
 import math