return to latch on src for oper
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 13 May 2019 21:07:38 +0000 (22:07 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 13 May 2019 21:07:38 +0000 (22:07 +0100)
src/experiment/compalu.py

index a240a6b458f9330b13d41f48d35ed0febb3f027f..6209ae0ec1650b34b1b1fe116d358e08b145ced0 100644 (file)
@@ -60,7 +60,7 @@ class ComputationUnitNoDelay(Elaboratable):
         #    m.d.comb += self.alu.op.eq(self.oper_i)
 
         # create a latch/register for the operand
-        latchregister(m, self.oper_i, self.alu.op, opc_l.q)
+        latchregister(m, self.oper_i, self.alu.op, src_l.q)
 
         # and one for the output from the ALU
         data_o = Signal(self.rwid, reset_less=True) # Dest register