update libresoc.v
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 27 May 2021 13:52:56 +0000 (13:52 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Thu, 27 May 2021 13:52:56 +0000 (13:52 +0000)
experiments9/non_generated/full_core_4_4ksram_libresoc.v

index c2e4955ba9ae8a07e458392e0035c63eb12b54fd..38f38df10df4965d6bca084952461c51bcd851d9 100644 (file)
@@ -8,7 +8,7 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec19_SV_Ptype;
   reg [1:0] ALU_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -20,7 +20,7 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec19_cr_in;
   reg [2:0] ALU_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -30,17 +30,17 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec19_cr_out;
   reg [2:0] ALU_dec19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec19_cry_in;
   reg [1:0] ALU_dec19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec19_cry_out;
   reg ALU_dec19_cry_out;
   (* enum_base_type = "Function" *)
@@ -59,7 +59,7 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec19_function_unit;
   reg [14:0] ALU_dec19_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -70,7 +70,7 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec19_in1_sel;
   reg [2:0] ALU_dec19_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -89,7 +89,7 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec19_in2_sel;
   reg [3:0] ALU_dec19_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -169,16 +169,16 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec19_internal_op;
   reg [6:0] ALU_dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec19_inv_a;
   reg ALU_dec19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec19_inv_out;
   reg ALU_dec19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec19_is_32b;
   reg ALU_dec19_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -187,29 +187,29 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec19_ldst_len;
   reg [3:0] ALU_dec19_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec19_rc_sel;
   reg [1:0] ALU_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec19_sgn;
   reg ALU_dec19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_function_unit = 15'h0002;
     endcase
@@ -217,9 +217,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_cry_in = 2'h0;
     endcase
@@ -227,9 +227,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_inv_a = 1'h0;
     endcase
@@ -237,9 +237,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_inv_out = 1'h0;
     endcase
@@ -247,9 +247,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_cry_out = 1'h0;
     endcase
@@ -257,9 +257,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_is_32b = 1'h0;
     endcase
@@ -267,9 +267,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_sgn = 1'h0;
     endcase
@@ -277,9 +277,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_internal_op = 7'h24;
     endcase
@@ -287,9 +287,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_SV_Ptype = 2'h0;
     endcase
@@ -297,9 +297,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_in1_sel = 3'h0;
     endcase
@@ -307,9 +307,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_in2_sel = 4'h0;
     endcase
@@ -317,9 +317,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_cr_in = 3'h0;
     endcase
@@ -327,9 +327,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_cr_out = 3'h0;
     endcase
@@ -337,9 +337,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_ldst_len = 4'h0;
     endcase
@@ -347,9 +347,9 @@ module ALU_dec19(ALU_dec19_function_unit, ALU_dec19_internal_op, ALU_dec19_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           ALU_dec19_rc_sel = 2'h0;
     endcase
@@ -365,7 +365,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_SV_Ptype;
   reg [1:0] ALU_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -377,7 +377,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_cr_in;
   reg [2:0] ALU_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -387,24 +387,24 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_cr_out;
   reg [2:0] ALU_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_cry_in;
   reg [1:0] ALU_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_cry_out;
   reg ALU_dec31_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -415,7 +415,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -424,15 +424,15 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -450,7 +450,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -460,7 +460,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -478,7 +478,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -557,13 +557,13 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -571,23 +571,23 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_dec_sub0_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -598,7 +598,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -607,15 +607,15 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -633,7 +633,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -643,7 +643,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -661,7 +661,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -740,13 +740,13 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -754,23 +754,23 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_dec_sub10_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -781,7 +781,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -790,15 +790,15 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -816,7 +816,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -826,7 +826,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -844,7 +844,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -923,13 +923,13 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -937,23 +937,23 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_dec_sub22_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -964,7 +964,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -973,15 +973,15 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -999,7 +999,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -1009,7 +1009,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -1027,7 +1027,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -1106,13 +1106,13 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -1120,23 +1120,23 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_dec_sub26_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -1147,7 +1147,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -1156,15 +1156,15 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -1182,7 +1182,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -1192,7 +1192,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -1210,7 +1210,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -1289,13 +1289,13 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -1303,17 +1303,17 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_dec_sub8_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -1331,7 +1331,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_function_unit;
   reg [14:0] ALU_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -1342,7 +1342,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_in1_sel;
   reg [2:0] ALU_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -1361,7 +1361,7 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_in2_sel;
   reg [3:0] ALU_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -1441,16 +1441,16 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_internal_op;
   reg [6:0] ALU_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_inv_a;
   reg ALU_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_inv_out;
   reg ALU_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_is_32b;
   reg ALU_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -1459,24 +1459,24 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_ldst_len;
   reg [3:0] ALU_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_rc_sel;
   reg [1:0] ALU_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_sgn;
   reg ALU_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   ALU_dec31_dec_sub0 ALU_dec31_dec_sub0 (
     .ALU_dec31_dec_sub0_SV_Ptype(ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype),
@@ -1571,21 +1571,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_in1_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_in1_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_in1_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_in1_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_in1_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in1_sel;
     endcase
@@ -1593,21 +1593,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_in2_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_in2_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_in2_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_in2_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_in2_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_in2_sel;
     endcase
@@ -1615,21 +1615,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_cr_in = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_cr_in = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_cr_in = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_cr_in = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_cr_in = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_in;
     endcase
@@ -1637,21 +1637,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_cr_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_cr_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_cr_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_cr_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_cr_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cr_out;
     endcase
@@ -1659,21 +1659,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_ldst_len = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_ldst_len = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_ldst_len = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_ldst_len = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_ldst_len = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_ldst_len;
     endcase
@@ -1681,21 +1681,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_rc_sel = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_rc_sel = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_rc_sel = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_rc_sel = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_rc_sel = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_rc_sel;
     endcase
@@ -1703,21 +1703,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_cry_in = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_cry_in = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_cry_in = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_cry_in = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_cry_in = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_in;
     endcase
@@ -1725,21 +1725,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_inv_a = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_inv_a = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_inv_a = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_inv_a = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_inv_a = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_a;
     endcase
@@ -1747,21 +1747,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_inv_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_inv_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_inv_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_inv_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_inv_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_inv_out;
     endcase
@@ -1769,21 +1769,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_cry_out = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_cry_out = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_cry_out = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_cry_out = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_cry_out = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_cry_out;
     endcase
@@ -1791,21 +1791,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_is_32b = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_is_32b = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_is_32b = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_is_32b = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_is_32b = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_is_32b;
     endcase
@@ -1813,21 +1813,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_sgn = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_sgn = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_sgn = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_sgn = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_sgn = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_sgn;
     endcase
@@ -1835,21 +1835,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_function_unit = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_function_unit = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_function_unit = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_function_unit = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_function_unit = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_function_unit;
     endcase
@@ -1857,21 +1857,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_internal_op = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_internal_op = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_internal_op = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_internal_op = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_internal_op = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_internal_op;
     endcase
@@ -1879,21 +1879,21 @@ module ALU_dec31(ALU_dec31_function_unit, ALU_dec31_internal_op, ALU_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     ALU_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           ALU_dec31_SV_Ptype = ALU_dec31_dec_sub10_ALU_dec31_dec_sub10_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_SV_Ptype = ALU_dec31_dec_sub0_ALU_dec31_dec_sub0_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           ALU_dec31_SV_Ptype = ALU_dec31_dec_sub26_ALU_dec31_dec_sub26_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_SV_Ptype = ALU_dec31_dec_sub22_ALU_dec31_dec_sub22_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_SV_Ptype = ALU_dec31_dec_sub8_ALU_dec31_dec_sub8_SV_Ptype;
     endcase
@@ -1915,7 +1915,7 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub0_SV_Ptype;
   reg [1:0] ALU_dec31_dec_sub0_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -1927,7 +1927,7 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub0_cr_in;
   reg [2:0] ALU_dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -1937,17 +1937,17 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub0_cr_out;
   reg [2:0] ALU_dec31_dec_sub0_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub0_cry_in;
   reg [1:0] ALU_dec31_dec_sub0_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub0_cry_out;
   reg ALU_dec31_dec_sub0_cry_out;
   (* enum_base_type = "Function" *)
@@ -1966,7 +1966,7 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_dec_sub0_function_unit;
   reg [14:0] ALU_dec31_dec_sub0_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -1977,7 +1977,7 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub0_in1_sel;
   reg [2:0] ALU_dec31_dec_sub0_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -1996,7 +1996,7 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub0_in2_sel;
   reg [3:0] ALU_dec31_dec_sub0_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -2076,16 +2076,16 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_dec_sub0_internal_op;
   reg [6:0] ALU_dec31_dec_sub0_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub0_inv_a;
   reg ALU_dec31_dec_sub0_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub0_inv_out;
   reg ALU_dec31_dec_sub0_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub0_is_32b;
   reg ALU_dec31_dec_sub0_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -2094,35 +2094,35 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub0_ldst_len;
   reg [3:0] ALU_dec31_dec_sub0_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub0_rc_sel;
   reg [1:0] ALU_dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub0_sgn;
   reg ALU_dec31_dec_sub0_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_function_unit = 15'h0002;
     endcase
@@ -2130,15 +2130,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_cry_in = 2'h1;
     endcase
@@ -2146,15 +2146,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_inv_a = 1'h1;
     endcase
@@ -2162,15 +2162,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_inv_out = 1'h0;
     endcase
@@ -2178,15 +2178,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_cry_out = 1'h0;
     endcase
@@ -2194,15 +2194,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_is_32b = 1'h0;
     endcase
@@ -2210,15 +2210,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_sgn = 1'h0;
     endcase
@@ -2226,15 +2226,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_internal_op = 7'h0c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_internal_op = 7'h0a;
     endcase
@@ -2242,15 +2242,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_SV_Ptype = 2'h1;
     endcase
@@ -2258,15 +2258,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_in1_sel = 3'h1;
     endcase
@@ -2274,15 +2274,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_in2_sel = 4'h1;
     endcase
@@ -2290,15 +2290,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_cr_in = 3'h0;
     endcase
@@ -2306,15 +2306,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_cr_out = 3'h2;
     endcase
@@ -2322,15 +2322,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_ldst_len = 4'h0;
     endcase
@@ -2338,15 +2338,15 @@ module ALU_dec31_dec_sub0(ALU_dec31_dec_sub0_function_unit, ALU_dec31_dec_sub0_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub0_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub0_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub0_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub0_rc_sel = 2'h0;
     endcase
@@ -2362,7 +2362,7 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub10_SV_Ptype;
   reg [1:0] ALU_dec31_dec_sub10_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -2374,7 +2374,7 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub10_cr_in;
   reg [2:0] ALU_dec31_dec_sub10_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -2384,17 +2384,17 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub10_cr_out;
   reg [2:0] ALU_dec31_dec_sub10_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub10_cry_in;
   reg [1:0] ALU_dec31_dec_sub10_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub10_cry_out;
   reg ALU_dec31_dec_sub10_cry_out;
   (* enum_base_type = "Function" *)
@@ -2413,7 +2413,7 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_dec_sub10_function_unit;
   reg [14:0] ALU_dec31_dec_sub10_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -2424,7 +2424,7 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub10_in1_sel;
   reg [2:0] ALU_dec31_dec_sub10_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -2443,7 +2443,7 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub10_in2_sel;
   reg [3:0] ALU_dec31_dec_sub10_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -2523,16 +2523,16 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_dec_sub10_internal_op;
   reg [6:0] ALU_dec31_dec_sub10_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub10_inv_a;
   reg ALU_dec31_dec_sub10_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub10_inv_out;
   reg ALU_dec31_dec_sub10_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub10_is_32b;
   reg ALU_dec31_dec_sub10_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -2541,56 +2541,56 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub10_ldst_len;
   reg [3:0] ALU_dec31_dec_sub10_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub10_rc_sel;
   reg [1:0] ALU_dec31_dec_sub10_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub10_sgn;
   reg ALU_dec31_dec_sub10_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_function_unit = 15'h0002;
     endcase
@@ -2598,36 +2598,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_cry_in = 2'h2;
     endcase
@@ -2635,36 +2635,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_inv_a = 1'h0;
     endcase
@@ -2672,36 +2672,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_inv_out = 1'h0;
     endcase
@@ -2709,36 +2709,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_cry_out = 1'h1;
     endcase
@@ -2746,36 +2746,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_is_32b = 1'h0;
     endcase
@@ -2783,36 +2783,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_sgn = 1'h0;
     endcase
@@ -2820,36 +2820,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_internal_op = 7'h02;
     endcase
@@ -2857,36 +2857,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_SV_Ptype = 2'h2;
     endcase
@@ -2894,36 +2894,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_in1_sel = 3'h1;
     endcase
@@ -2931,36 +2931,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_in2_sel = 4'h0;
     endcase
@@ -2968,36 +2968,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_cr_in = 3'h0;
     endcase
@@ -3005,36 +3005,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_cr_out = 3'h1;
     endcase
@@ -3042,36 +3042,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_ldst_len = 4'h0;
     endcase
@@ -3079,36 +3079,36 @@ module ALU_dec31_dec_sub10(ALU_dec31_dec_sub10_function_unit, ALU_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub10_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub10_rc_sel = 2'h2;
     endcase
@@ -3124,7 +3124,7 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub22_SV_Ptype;
   reg [1:0] ALU_dec31_dec_sub22_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -3136,7 +3136,7 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub22_cr_in;
   reg [2:0] ALU_dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -3146,17 +3146,17 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub22_cr_out;
   reg [2:0] ALU_dec31_dec_sub22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub22_cry_in;
   reg [1:0] ALU_dec31_dec_sub22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub22_cry_out;
   reg ALU_dec31_dec_sub22_cry_out;
   (* enum_base_type = "Function" *)
@@ -3175,7 +3175,7 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_dec_sub22_function_unit;
   reg [14:0] ALU_dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -3186,7 +3186,7 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub22_in1_sel;
   reg [2:0] ALU_dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -3205,7 +3205,7 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub22_in2_sel;
   reg [3:0] ALU_dec31_dec_sub22_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -3285,16 +3285,16 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_dec_sub22_internal_op;
   reg [6:0] ALU_dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub22_inv_a;
   reg ALU_dec31_dec_sub22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub22_inv_out;
   reg ALU_dec31_dec_sub22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub22_is_32b;
   reg ALU_dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -3303,47 +3303,47 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub22_ldst_len;
   reg [3:0] ALU_dec31_dec_sub22_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub22_rc_sel;
   reg [1:0] ALU_dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub22_sgn;
   reg ALU_dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_function_unit = 15'h0002;
     endcase
@@ -3351,27 +3351,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_cry_in = 2'h0;
     endcase
@@ -3379,27 +3379,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_inv_a = 1'h0;
     endcase
@@ -3407,27 +3407,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_inv_out = 1'h0;
     endcase
@@ -3435,27 +3435,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_cry_out = 1'h0;
     endcase
@@ -3463,27 +3463,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_is_32b = 1'h0;
     endcase
@@ -3491,27 +3491,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_sgn = 1'h0;
     endcase
@@ -3519,27 +3519,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_internal_op = 7'h21;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_internal_op = 7'h01;
     endcase
@@ -3547,27 +3547,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_SV_Ptype = 2'h0;
     endcase
@@ -3575,27 +3575,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_in1_sel = 3'h0;
     endcase
@@ -3603,27 +3603,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_in2_sel = 4'h0;
     endcase
@@ -3631,27 +3631,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_cr_in = 3'h0;
     endcase
@@ -3659,27 +3659,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_cr_out = 3'h0;
     endcase
@@ -3687,27 +3687,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_ldst_len = 4'h0;
     endcase
@@ -3715,27 +3715,27 @@ module ALU_dec31_dec_sub22(ALU_dec31_dec_sub22_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub22_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           ALU_dec31_dec_sub22_rc_sel = 2'h0;
     endcase
@@ -3751,7 +3751,7 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub26_SV_Ptype;
   reg [1:0] ALU_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -3763,7 +3763,7 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub26_cr_in;
   reg [2:0] ALU_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -3773,17 +3773,17 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub26_cr_out;
   reg [2:0] ALU_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub26_cry_in;
   reg [1:0] ALU_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub26_cry_out;
   reg ALU_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
@@ -3802,7 +3802,7 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_dec_sub26_function_unit;
   reg [14:0] ALU_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -3813,7 +3813,7 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub26_in1_sel;
   reg [2:0] ALU_dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -3832,7 +3832,7 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub26_in2_sel;
   reg [3:0] ALU_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -3912,16 +3912,16 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_dec_sub26_internal_op;
   reg [6:0] ALU_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub26_inv_a;
   reg ALU_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub26_inv_out;
   reg ALU_dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub26_is_32b;
   reg ALU_dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -3930,35 +3930,35 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub26_ldst_len;
   reg [3:0] ALU_dec31_dec_sub26_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub26_rc_sel;
   reg [1:0] ALU_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub26_sgn;
   reg ALU_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_function_unit = 15'h0002;
     endcase
@@ -3966,15 +3966,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_cry_in = 2'h0;
     endcase
@@ -3982,15 +3982,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_inv_a = 1'h0;
     endcase
@@ -3998,15 +3998,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_inv_out = 1'h0;
     endcase
@@ -4014,15 +4014,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_cry_out = 1'h0;
     endcase
@@ -4030,15 +4030,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_is_32b = 1'h0;
     endcase
@@ -4046,15 +4046,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_sgn = 1'h0;
     endcase
@@ -4062,15 +4062,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_internal_op = 7'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_internal_op = 7'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_internal_op = 7'h1f;
     endcase
@@ -4078,15 +4078,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_SV_Ptype = 2'h2;
     endcase
@@ -4094,15 +4094,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_in1_sel = 3'h4;
     endcase
@@ -4110,15 +4110,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_in2_sel = 4'h0;
     endcase
@@ -4126,15 +4126,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_cr_in = 3'h0;
     endcase
@@ -4142,15 +4142,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_cr_out = 3'h1;
     endcase
@@ -4158,15 +4158,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_ldst_len = 4'h4;
     endcase
@@ -4174,15 +4174,15 @@ module ALU_dec31_dec_sub26(ALU_dec31_dec_sub26_function_unit, ALU_dec31_dec_sub2
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub26_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           ALU_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           ALU_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           ALU_dec31_dec_sub26_rc_sel = 2'h2;
     endcase
@@ -4198,7 +4198,7 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub8_SV_Ptype;
   reg [1:0] ALU_dec31_dec_sub8_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -4210,7 +4210,7 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub8_cr_in;
   reg [2:0] ALU_dec31_dec_sub8_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -4220,17 +4220,17 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub8_cr_out;
   reg [2:0] ALU_dec31_dec_sub8_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub8_cry_in;
   reg [1:0] ALU_dec31_dec_sub8_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub8_cry_out;
   reg ALU_dec31_dec_sub8_cry_out;
   (* enum_base_type = "Function" *)
@@ -4249,7 +4249,7 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_dec31_dec_sub8_function_unit;
   reg [14:0] ALU_dec31_dec_sub8_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -4260,7 +4260,7 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_dec31_dec_sub8_in1_sel;
   reg [2:0] ALU_dec31_dec_sub8_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -4279,7 +4279,7 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub8_in2_sel;
   reg [3:0] ALU_dec31_dec_sub8_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -4359,16 +4359,16 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_dec31_dec_sub8_internal_op;
   reg [6:0] ALU_dec31_dec_sub8_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub8_inv_a;
   reg ALU_dec31_dec_sub8_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub8_inv_out;
   reg ALU_dec31_dec_sub8_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub8_is_32b;
   reg ALU_dec31_dec_sub8_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -4377,62 +4377,62 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_dec31_dec_sub8_ldst_len;
   reg [3:0] ALU_dec31_dec_sub8_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_dec31_dec_sub8_rc_sel;
   reg [1:0] ALU_dec31_dec_sub8_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_dec31_dec_sub8_sgn;
   reg ALU_dec31_dec_sub8_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_function_unit = 15'h0002;
     endcase
@@ -4440,42 +4440,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_cry_in = 2'h2;
     endcase
@@ -4483,42 +4483,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_inv_a = 1'h1;
     endcase
@@ -4526,42 +4526,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_inv_out = 1'h0;
     endcase
@@ -4569,42 +4569,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_cry_out = 1'h1;
     endcase
@@ -4612,42 +4612,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_is_32b = 1'h0;
     endcase
@@ -4655,42 +4655,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_sgn = 1'h0;
     endcase
@@ -4698,42 +4698,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_internal_op = 7'h02;
     endcase
@@ -4741,42 +4741,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_SV_Ptype = 2'h2;
     endcase
@@ -4784,42 +4784,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_in1_sel = 3'h1;
     endcase
@@ -4827,42 +4827,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_in2_sel = 4'h0;
     endcase
@@ -4870,42 +4870,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_cr_in = 3'h0;
     endcase
@@ -4913,42 +4913,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_cr_out = 3'h1;
     endcase
@@ -4956,42 +4956,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_ldst_len = 4'h0;
     endcase
@@ -4999,42 +4999,42 @@ module ALU_dec31_dec_sub8(ALU_dec31_dec_sub8_function_unit, ALU_dec31_dec_sub8_i
   always @* begin
     if (\initial ) begin end
     ALU_dec31_dec_sub8_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           ALU_dec31_dec_sub8_rc_sel = 2'h2;
     endcase
@@ -5050,7 +5050,7 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] BRANCH_dec19_SV_Ptype;
   reg [1:0] BRANCH_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -5062,7 +5062,7 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] BRANCH_dec19_cr_in;
   reg [2:0] BRANCH_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -5072,7 +5072,7 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] BRANCH_dec19_cr_out;
   reg [2:0] BRANCH_dec19_cr_out;
   (* enum_base_type = "Function" *)
@@ -5091,7 +5091,7 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] BRANCH_dec19_function_unit;
   reg [14:0] BRANCH_dec19_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -5110,7 +5110,7 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] BRANCH_dec19_in2_sel;
   reg [3:0] BRANCH_dec19_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -5190,38 +5190,38 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] BRANCH_dec19_internal_op;
   reg [6:0] BRANCH_dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output BRANCH_dec19_is_32b;
   reg BRANCH_dec19_is_32b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output BRANCH_dec19_lk;
   reg BRANCH_dec19_lk;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] BRANCH_dec19_rc_sel;
   reg [1:0] BRANCH_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_function_unit = 15'h0020;
     endcase
@@ -5229,15 +5229,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_internal_op = 7'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_internal_op = 7'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_internal_op = 7'h08;
     endcase
@@ -5245,15 +5245,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_SV_Ptype = 2'h0;
     endcase
@@ -5261,15 +5261,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_in2_sel = 4'hc;
     endcase
@@ -5277,15 +5277,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_cr_in = 3'h2;
     endcase
@@ -5293,15 +5293,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_cr_out = 3'h0;
     endcase
@@ -5309,15 +5309,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_rc_sel = 2'h0;
     endcase
@@ -5325,15 +5325,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_is_32b = 1'h0;
     endcase
@@ -5341,15 +5341,15 @@ module BRANCH_dec19(BRANCH_dec19_function_unit, BRANCH_dec19_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_dec19_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           BRANCH_dec19_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           BRANCH_dec19_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           BRANCH_dec19_lk = 1'h1;
     endcase
@@ -5365,7 +5365,7 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec19_SV_Ptype;
   reg [1:0] CR_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -5377,7 +5377,7 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec19_cr_in;
   reg [2:0] CR_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -5387,7 +5387,7 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec19_cr_out;
   reg [2:0] CR_dec19_cr_out;
   (* enum_base_type = "Function" *)
@@ -5406,7 +5406,7 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec19_function_unit;
   reg [14:0] CR_dec19_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -5486,50 +5486,50 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec19_internal_op;
   reg [6:0] CR_dec19_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec19_rc_sel;
   reg [1:0] CR_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     CR_dec19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_function_unit = 15'h0040;
     endcase
@@ -5537,33 +5537,33 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_internal_op = 7'h2a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_internal_op = 7'h45;
     endcase
@@ -5571,33 +5571,33 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_SV_Ptype = 2'h1;
     endcase
@@ -5605,33 +5605,33 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_cr_in = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_cr_in = 3'h4;
     endcase
@@ -5639,33 +5639,33 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_cr_out = 3'h3;
     endcase
@@ -5673,33 +5673,33 @@ module CR_dec19(CR_dec19_function_unit, CR_dec19_internal_op, CR_dec19_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           CR_dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           CR_dec19_rc_sel = 2'h0;
     endcase
@@ -5715,7 +5715,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_SV_Ptype;
   reg [1:0] CR_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -5727,7 +5727,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_cr_in;
   reg [2:0] CR_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -5737,14 +5737,14 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_cr_out;
   reg [2:0] CR_dec31_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -5755,7 +5755,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -5764,7 +5764,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -5782,7 +5782,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -5861,21 +5861,21 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub0_CR_dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec31_dec_sub0_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -5886,7 +5886,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -5895,7 +5895,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -5913,7 +5913,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -5992,21 +5992,21 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub15_CR_dec31_dec_sub15_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec31_dec_sub15_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -6017,7 +6017,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -6026,7 +6026,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -6044,7 +6044,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -6123,21 +6123,21 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub16_CR_dec31_dec_sub16_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec31_dec_sub16_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -6148,7 +6148,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -6157,7 +6157,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -6175,7 +6175,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -6254,15 +6254,15 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_dec_sub19_CR_dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec31_dec_sub19_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -6280,7 +6280,7 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec31_function_unit;
   reg [14:0] CR_dec31_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -6360,21 +6360,21 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec31_internal_op;
   reg [6:0] CR_dec31_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_rc_sel;
   reg [1:0] CR_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   CR_dec31_dec_sub0 CR_dec31_dec_sub0 (
     .CR_dec31_dec_sub0_SV_Ptype(CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype),
@@ -6415,18 +6415,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_cr_out = CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_cr_out = CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_cr_out = CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_cr_out = CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_out;
     endcase
@@ -6434,18 +6434,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_rc_sel = CR_dec31_dec_sub0_CR_dec31_dec_sub0_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_rc_sel = CR_dec31_dec_sub19_CR_dec31_dec_sub19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_rc_sel = CR_dec31_dec_sub15_CR_dec31_dec_sub15_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_rc_sel = CR_dec31_dec_sub16_CR_dec31_dec_sub16_rc_sel;
     endcase
@@ -6453,18 +6453,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_function_unit = CR_dec31_dec_sub0_CR_dec31_dec_sub0_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_function_unit = CR_dec31_dec_sub19_CR_dec31_dec_sub19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_function_unit = CR_dec31_dec_sub15_CR_dec31_dec_sub15_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_function_unit = CR_dec31_dec_sub16_CR_dec31_dec_sub16_function_unit;
     endcase
@@ -6472,18 +6472,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_internal_op = CR_dec31_dec_sub0_CR_dec31_dec_sub0_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_internal_op = CR_dec31_dec_sub19_CR_dec31_dec_sub19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_internal_op = CR_dec31_dec_sub15_CR_dec31_dec_sub15_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_internal_op = CR_dec31_dec_sub16_CR_dec31_dec_sub16_internal_op;
     endcase
@@ -6491,18 +6491,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_SV_Ptype = CR_dec31_dec_sub0_CR_dec31_dec_sub0_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_SV_Ptype = CR_dec31_dec_sub19_CR_dec31_dec_sub19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_SV_Ptype = CR_dec31_dec_sub15_CR_dec31_dec_sub15_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_SV_Ptype = CR_dec31_dec_sub16_CR_dec31_dec_sub16_SV_Ptype;
     endcase
@@ -6510,18 +6510,18 @@ module CR_dec31(CR_dec31_function_unit, CR_dec31_internal_op, CR_dec31_SV_Ptype,
   always @* begin
     if (\initial ) begin end
     CR_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_cr_in = CR_dec31_dec_sub0_CR_dec31_dec_sub0_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_cr_in = CR_dec31_dec_sub19_CR_dec31_dec_sub19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_cr_in = CR_dec31_dec_sub15_CR_dec31_dec_sub15_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_cr_in = CR_dec31_dec_sub16_CR_dec31_dec_sub16_cr_in;
     endcase
@@ -6542,7 +6542,7 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub0_SV_Ptype;
   reg [1:0] CR_dec31_dec_sub0_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -6554,7 +6554,7 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub0_cr_in;
   reg [2:0] CR_dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -6564,7 +6564,7 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub0_cr_out;
   reg [2:0] CR_dec31_dec_sub0_cr_out;
   (* enum_base_type = "Function" *)
@@ -6583,7 +6583,7 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec31_dec_sub0_function_unit;
   reg [14:0] CR_dec31_dec_sub0_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -6663,26 +6663,26 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec31_dec_sub0_internal_op;
   reg [6:0] CR_dec31_dec_sub0_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub0_rc_sel;
   reg [1:0] CR_dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_function_unit = 15'h0040;
     endcase
@@ -6690,9 +6690,9 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_internal_op = 7'h3b;
     endcase
@@ -6700,9 +6700,9 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_SV_Ptype = 2'h2;
     endcase
@@ -6710,9 +6710,9 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_cr_in = 3'h3;
     endcase
@@ -6720,9 +6720,9 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_cr_out = 3'h0;
     endcase
@@ -6730,9 +6730,9 @@ module CR_dec31_dec_sub0(CR_dec31_dec_sub0_function_unit, CR_dec31_dec_sub0_inte
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub0_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub0_rc_sel = 2'h0;
     endcase
@@ -6748,7 +6748,7 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub15_SV_Ptype;
   reg [1:0] CR_dec31_dec_sub15_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -6760,7 +6760,7 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub15_cr_in;
   reg [2:0] CR_dec31_dec_sub15_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -6770,7 +6770,7 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub15_cr_out;
   reg [2:0] CR_dec31_dec_sub15_cr_out;
   (* enum_base_type = "Function" *)
@@ -6789,7 +6789,7 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec31_dec_sub15_function_unit;
   reg [14:0] CR_dec31_dec_sub15_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -6869,119 +6869,119 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec31_dec_sub15_internal_op;
   reg [6:0] CR_dec31_dec_sub15_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub15_rc_sel;
   reg [1:0] CR_dec31_dec_sub15_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_function_unit = 15'h0040;
     endcase
@@ -6989,102 +6989,102 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_internal_op = 7'h23;
     endcase
@@ -7092,102 +7092,102 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_SV_Ptype = 2'h1;
     endcase
@@ -7195,102 +7195,102 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_cr_in = 3'h5;
     endcase
@@ -7298,102 +7298,102 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_cr_out = 3'h0;
     endcase
@@ -7401,102 +7401,102 @@ module CR_dec31_dec_sub15(CR_dec31_dec_sub15_function_unit, CR_dec31_dec_sub15_i
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           CR_dec31_dec_sub15_rc_sel = 2'h0;
     endcase
@@ -7512,7 +7512,7 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub16_SV_Ptype;
   reg [1:0] CR_dec31_dec_sub16_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -7524,7 +7524,7 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub16_cr_in;
   reg [2:0] CR_dec31_dec_sub16_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -7534,7 +7534,7 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub16_cr_out;
   reg [2:0] CR_dec31_dec_sub16_cr_out;
   (* enum_base_type = "Function" *)
@@ -7553,7 +7553,7 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec31_dec_sub16_function_unit;
   reg [14:0] CR_dec31_dec_sub16_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -7633,26 +7633,26 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec31_dec_sub16_internal_op;
   reg [6:0] CR_dec31_dec_sub16_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub16_rc_sel;
   reg [1:0] CR_dec31_dec_sub16_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_function_unit = 15'h0040;
     endcase
@@ -7660,9 +7660,9 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_internal_op = 7'h30;
     endcase
@@ -7670,9 +7670,9 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_SV_Ptype = 2'h2;
     endcase
@@ -7680,9 +7680,9 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_cr_in = 3'h6;
     endcase
@@ -7690,9 +7690,9 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_cr_out = 3'h4;
     endcase
@@ -7700,9 +7700,9 @@ module CR_dec31_dec_sub16(CR_dec31_dec_sub16_function_unit, CR_dec31_dec_sub16_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub16_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           CR_dec31_dec_sub16_rc_sel = 2'h0;
     endcase
@@ -7718,7 +7718,7 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub19_SV_Ptype;
   reg [1:0] CR_dec31_dec_sub19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -7730,7 +7730,7 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub19_cr_in;
   reg [2:0] CR_dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -7740,7 +7740,7 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_dec31_dec_sub19_cr_out;
   reg [2:0] CR_dec31_dec_sub19_cr_out;
   (* enum_base_type = "Function" *)
@@ -7759,7 +7759,7 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_dec31_dec_sub19_function_unit;
   reg [14:0] CR_dec31_dec_sub19_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -7839,26 +7839,26 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_dec31_dec_sub19_internal_op;
   reg [6:0] CR_dec31_dec_sub19_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_dec31_dec_sub19_rc_sel;
   reg [1:0] CR_dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_function_unit = 15'h0040;
     endcase
@@ -7866,9 +7866,9 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_internal_op = 7'h2d;
     endcase
@@ -7876,9 +7876,9 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_SV_Ptype = 2'h2;
     endcase
@@ -7886,9 +7886,9 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_cr_in = 3'h6;
     endcase
@@ -7896,9 +7896,9 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_cr_out = 3'h0;
     endcase
@@ -7906,9 +7906,9 @@ module CR_dec31_dec_sub19(CR_dec31_dec_sub19_function_unit, CR_dec31_dec_sub19_i
   always @* begin
     if (\initial ) begin end
     CR_dec31_dec_sub19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           CR_dec31_dec_sub19_rc_sel = 2'h0;
     endcase
@@ -7924,7 +7924,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_SV_Ptype;
   reg [1:0] DIV_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -7936,7 +7936,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_cr_in;
   reg [2:0] DIV_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -7946,24 +7946,24 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_cr_out;
   reg [2:0] DIV_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_cry_in;
   reg [1:0] DIV_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_cry_out;
   reg DIV_dec31_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -7974,7 +7974,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -7983,15 +7983,15 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -8009,7 +8009,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -8019,7 +8019,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -8037,7 +8037,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -8116,13 +8116,13 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -8130,23 +8130,23 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] DIV_dec31_dec_sub11_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -8157,7 +8157,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -8166,15 +8166,15 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -8192,7 +8192,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -8202,7 +8202,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -8220,7 +8220,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -8299,13 +8299,13 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -8313,17 +8313,17 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] DIV_dec31_dec_sub9_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -8341,7 +8341,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] DIV_dec31_function_unit;
   reg [14:0] DIV_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -8352,7 +8352,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_in1_sel;
   reg [2:0] DIV_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -8371,7 +8371,7 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_in2_sel;
   reg [3:0] DIV_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -8451,16 +8451,16 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] DIV_dec31_internal_op;
   reg [6:0] DIV_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_inv_a;
   reg DIV_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_inv_out;
   reg DIV_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_is_32b;
   reg DIV_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -8469,24 +8469,24 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_ldst_len;
   reg [3:0] DIV_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_rc_sel;
   reg [1:0] DIV_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_sgn;
   reg DIV_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   DIV_dec31_dec_sub11 DIV_dec31_dec_sub11 (
     .DIV_dec31_dec_sub11_SV_Ptype(DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype),
@@ -8527,12 +8527,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_cr_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_cr_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_out;
     endcase
@@ -8540,12 +8540,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_ldst_len = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_ldst_len = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_ldst_len;
     endcase
@@ -8553,12 +8553,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_rc_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_rc_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_rc_sel;
     endcase
@@ -8566,12 +8566,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_cry_in = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_cry_in = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_in;
     endcase
@@ -8579,12 +8579,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_inv_a = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_inv_a = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_a;
     endcase
@@ -8592,12 +8592,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_inv_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_inv_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_inv_out;
     endcase
@@ -8605,12 +8605,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_cry_out = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_cry_out = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cry_out;
     endcase
@@ -8618,12 +8618,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_is_32b = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_is_32b = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_is_32b;
     endcase
@@ -8631,12 +8631,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_sgn = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_sgn = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_sgn;
     endcase
@@ -8644,12 +8644,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_function_unit = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_function_unit = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_function_unit;
     endcase
@@ -8657,12 +8657,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_internal_op = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_internal_op = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_internal_op;
     endcase
@@ -8670,12 +8670,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_SV_Ptype = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_SV_Ptype = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_SV_Ptype;
     endcase
@@ -8683,12 +8683,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_in1_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_in1_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in1_sel;
     endcase
@@ -8696,12 +8696,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_in2_sel = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_in2_sel = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_in2_sel;
     endcase
@@ -8709,12 +8709,12 @@ module DIV_dec31(DIV_dec31_function_unit, DIV_dec31_internal_op, DIV_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     DIV_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           DIV_dec31_cr_in = DIV_dec31_dec_sub9_DIV_dec31_dec_sub9_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           DIV_dec31_cr_in = DIV_dec31_dec_sub11_DIV_dec31_dec_sub11_cr_in;
     endcase
@@ -8733,7 +8733,7 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub11_SV_Ptype;
   reg [1:0] DIV_dec31_dec_sub11_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -8745,7 +8745,7 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub11_cr_in;
   reg [2:0] DIV_dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -8755,17 +8755,17 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub11_cr_out;
   reg [2:0] DIV_dec31_dec_sub11_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub11_cry_in;
   reg [1:0] DIV_dec31_dec_sub11_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub11_cry_out;
   reg DIV_dec31_dec_sub11_cry_out;
   (* enum_base_type = "Function" *)
@@ -8784,7 +8784,7 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] DIV_dec31_dec_sub11_function_unit;
   reg [14:0] DIV_dec31_dec_sub11_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -8795,7 +8795,7 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub11_in1_sel;
   reg [2:0] DIV_dec31_dec_sub11_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -8814,7 +8814,7 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_dec_sub11_in2_sel;
   reg [3:0] DIV_dec31_dec_sub11_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -8894,16 +8894,16 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] DIV_dec31_dec_sub11_internal_op;
   reg [6:0] DIV_dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub11_inv_a;
   reg DIV_dec31_dec_sub11_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub11_inv_out;
   reg DIV_dec31_dec_sub11_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub11_is_32b;
   reg DIV_dec31_dec_sub11_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -8912,56 +8912,56 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_dec_sub11_ldst_len;
   reg [3:0] DIV_dec31_dec_sub11_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub11_rc_sel;
   reg [1:0] DIV_dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub11_sgn;
   reg DIV_dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_function_unit = 15'h0200;
     endcase
@@ -8969,36 +8969,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_cry_in = 2'h0;
     endcase
@@ -9006,36 +9006,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_inv_a = 1'h0;
     endcase
@@ -9043,36 +9043,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_inv_out = 1'h0;
     endcase
@@ -9080,36 +9080,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_cry_out = 1'h0;
     endcase
@@ -9117,36 +9117,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_is_32b = 1'h1;
     endcase
@@ -9154,36 +9154,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_sgn = 1'h1;
     endcase
@@ -9191,36 +9191,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_internal_op = 7'h2f;
     endcase
@@ -9228,36 +9228,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_SV_Ptype = 2'h1;
     endcase
@@ -9265,36 +9265,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_in1_sel = 3'h1;
     endcase
@@ -9302,36 +9302,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_in2_sel = 4'h1;
     endcase
@@ -9339,36 +9339,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_cr_in = 3'h0;
     endcase
@@ -9376,36 +9376,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_cr_out = 3'h0;
     endcase
@@ -9413,36 +9413,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_ldst_len = 4'h0;
     endcase
@@ -9450,36 +9450,36 @@ module DIV_dec31_dec_sub11(DIV_dec31_dec_sub11_function_unit, DIV_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub11_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub11_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub11_rc_sel = 2'h0;
     endcase
@@ -9495,7 +9495,7 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub9_SV_Ptype;
   reg [1:0] DIV_dec31_dec_sub9_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -9507,7 +9507,7 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub9_cr_in;
   reg [2:0] DIV_dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -9517,17 +9517,17 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub9_cr_out;
   reg [2:0] DIV_dec31_dec_sub9_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub9_cry_in;
   reg [1:0] DIV_dec31_dec_sub9_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub9_cry_out;
   reg DIV_dec31_dec_sub9_cry_out;
   (* enum_base_type = "Function" *)
@@ -9546,7 +9546,7 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] DIV_dec31_dec_sub9_function_unit;
   reg [14:0] DIV_dec31_dec_sub9_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -9557,7 +9557,7 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_dec31_dec_sub9_in1_sel;
   reg [2:0] DIV_dec31_dec_sub9_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -9576,7 +9576,7 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_dec_sub9_in2_sel;
   reg [3:0] DIV_dec31_dec_sub9_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -9656,16 +9656,16 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] DIV_dec31_dec_sub9_internal_op;
   reg [6:0] DIV_dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub9_inv_a;
   reg DIV_dec31_dec_sub9_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub9_inv_out;
   reg DIV_dec31_dec_sub9_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub9_is_32b;
   reg DIV_dec31_dec_sub9_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -9674,56 +9674,56 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_dec31_dec_sub9_ldst_len;
   reg [3:0] DIV_dec31_dec_sub9_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_dec31_dec_sub9_rc_sel;
   reg [1:0] DIV_dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_dec31_dec_sub9_sgn;
   reg DIV_dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_function_unit = 15'h0200;
     endcase
@@ -9731,36 +9731,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_cry_in = 2'h0;
     endcase
@@ -9768,36 +9768,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_inv_a = 1'h0;
     endcase
@@ -9805,36 +9805,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_inv_out = 1'h0;
     endcase
@@ -9842,36 +9842,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_cry_out = 1'h0;
     endcase
@@ -9879,36 +9879,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_is_32b = 1'h0;
     endcase
@@ -9916,36 +9916,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_sgn = 1'h1;
     endcase
@@ -9953,36 +9953,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_internal_op = 7'h2f;
     endcase
@@ -9990,36 +9990,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_SV_Ptype = 2'h1;
     endcase
@@ -10027,36 +10027,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_in1_sel = 3'h1;
     endcase
@@ -10064,36 +10064,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_in2_sel = 4'h1;
     endcase
@@ -10101,36 +10101,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_cr_in = 3'h0;
     endcase
@@ -10138,36 +10138,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_cr_out = 3'h0;
     endcase
@@ -10175,36 +10175,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_ldst_len = 4'h0;
     endcase
@@ -10212,36 +10212,36 @@ module DIV_dec31_dec_sub9(DIV_dec31_dec_sub9_function_unit, DIV_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     DIV_dec31_dec_sub9_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           DIV_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           DIV_dec31_dec_sub9_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           DIV_dec31_dec_sub9_rc_sel = 2'h0;
     endcase
@@ -10257,10 +10257,10 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_SV_Ptype;
   reg [1:0] LDST_dec31_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_br;
   reg LDST_dec31_br;
   (* enum_base_type = "CRInSel" *)
@@ -10272,7 +10272,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_cr_in;
   reg [2:0] LDST_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -10282,16 +10282,16 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_cr_out;
   reg [2:0] LDST_dec31_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10302,7 +10302,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10311,7 +10311,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -10329,7 +10329,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -10339,7 +10339,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -10357,7 +10357,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -10436,9 +10436,9 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -10446,34 +10446,34 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec31_dec_sub20_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10484,7 +10484,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10493,7 +10493,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -10511,7 +10511,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -10521,7 +10521,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -10539,7 +10539,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -10618,9 +10618,9 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -10628,34 +10628,34 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec31_dec_sub21_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10666,7 +10666,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10675,7 +10675,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -10693,7 +10693,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -10703,7 +10703,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -10721,7 +10721,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -10800,9 +10800,9 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -10810,34 +10810,34 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec31_dec_sub22_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10848,7 +10848,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -10857,7 +10857,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -10875,7 +10875,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -10885,7 +10885,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -10903,7 +10903,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -10982,9 +10982,9 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -10992,26 +10992,26 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec31_dec_sub23_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -11029,7 +11029,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec31_function_unit;
   reg [14:0] LDST_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -11040,7 +11040,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_in1_sel;
   reg [2:0] LDST_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -11059,7 +11059,7 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_in2_sel;
   reg [3:0] LDST_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -11139,10 +11139,10 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec31_internal_op;
   reg [6:0] LDST_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_is_32b;
   reg LDST_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -11151,20 +11151,20 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_ldst_len;
   reg [3:0] LDST_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_rc_sel;
   reg [1:0] LDST_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_sgn;
   reg LDST_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_sgn_ext;
   reg LDST_dec31_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -11172,14 +11172,14 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_upd;
   reg [1:0] LDST_dec31_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   LDST_dec31_dec_sub20 LDST_dec31_dec_sub20 (
     .LDST_dec31_dec_sub20_SV_Ptype(LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype),
@@ -11252,18 +11252,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_in2_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_in2_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_in2_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_in2_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in2_sel;
     endcase
@@ -11271,18 +11271,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_cr_in = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_cr_in = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_cr_in = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_cr_in = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_in;
     endcase
@@ -11290,18 +11290,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_cr_out = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_cr_out = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_cr_out = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_cr_out = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_cr_out;
     endcase
@@ -11309,18 +11309,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_ldst_len = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_ldst_len = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_ldst_len = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_ldst_len = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_ldst_len;
     endcase
@@ -11328,18 +11328,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_upd = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_upd = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_upd = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_upd = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_upd;
     endcase
@@ -11347,18 +11347,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_rc_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_rc_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_rc_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_rc_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_rc_sel;
     endcase
@@ -11366,18 +11366,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_br = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_br = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_br = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_br = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_br;
     endcase
@@ -11385,18 +11385,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_sgn_ext = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_sgn_ext = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_sgn_ext = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_sgn_ext = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn_ext;
     endcase
@@ -11404,18 +11404,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_is_32b = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_is_32b = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_is_32b = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_is_32b = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_is_32b;
     endcase
@@ -11423,18 +11423,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_sgn = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_sgn = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_sgn = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_sgn = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_sgn;
     endcase
@@ -11442,18 +11442,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_function_unit = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_function_unit = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_function_unit = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_function_unit = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_function_unit;
     endcase
@@ -11461,18 +11461,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_internal_op = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_internal_op = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_internal_op = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_internal_op = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_internal_op;
     endcase
@@ -11480,18 +11480,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_SV_Ptype = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_SV_Ptype = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_SV_Ptype = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_SV_Ptype = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_SV_Ptype;
     endcase
@@ -11499,18 +11499,18 @@ module LDST_dec31(LDST_dec31_function_unit, LDST_dec31_internal_op, LDST_dec31_S
   always @* begin
     if (\initial ) begin end
     LDST_dec31_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_in1_sel = LDST_dec31_dec_sub22_LDST_dec31_dec_sub22_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_in1_sel = LDST_dec31_dec_sub20_LDST_dec31_dec_sub20_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_in1_sel = LDST_dec31_dec_sub21_LDST_dec31_dec_sub21_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_in1_sel = LDST_dec31_dec_sub23_LDST_dec31_dec_sub23_in1_sel;
     endcase
@@ -11531,10 +11531,10 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub20_SV_Ptype;
   reg [1:0] LDST_dec31_dec_sub20_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub20_br;
   reg LDST_dec31_dec_sub20_br;
   (* enum_base_type = "CRInSel" *)
@@ -11546,7 +11546,7 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub20_cr_in;
   reg [2:0] LDST_dec31_dec_sub20_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -11556,7 +11556,7 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub20_cr_out;
   reg [2:0] LDST_dec31_dec_sub20_cr_out;
   (* enum_base_type = "Function" *)
@@ -11575,7 +11575,7 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec31_dec_sub20_function_unit;
   reg [14:0] LDST_dec31_dec_sub20_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -11586,7 +11586,7 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub20_in1_sel;
   reg [2:0] LDST_dec31_dec_sub20_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -11605,7 +11605,7 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub20_in2_sel;
   reg [3:0] LDST_dec31_dec_sub20_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -11685,10 +11685,10 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec31_dec_sub20_internal_op;
   reg [6:0] LDST_dec31_dec_sub20_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub20_is_32b;
   reg LDST_dec31_dec_sub20_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -11697,20 +11697,20 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub20_ldst_len;
   reg [3:0] LDST_dec31_dec_sub20_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub20_rc_sel;
   reg [1:0] LDST_dec31_dec_sub20_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub20_sgn;
   reg LDST_dec31_dec_sub20_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub20_sgn_ext;
   reg LDST_dec31_dec_sub20_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -11718,34 +11718,34 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub20_upd;
   reg [1:0] LDST_dec31_dec_sub20_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_function_unit = 15'h0004;
     endcase
@@ -11753,24 +11753,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_rc_sel = 2'h0;
     endcase
@@ -11778,24 +11778,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_br = 1'h1;
     endcase
@@ -11803,24 +11803,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_sgn_ext = 1'h0;
     endcase
@@ -11828,24 +11828,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_is_32b = 1'h0;
     endcase
@@ -11853,24 +11853,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_sgn = 1'h0;
     endcase
@@ -11878,24 +11878,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_internal_op = 7'h26;
     endcase
@@ -11903,24 +11903,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_SV_Ptype = 2'h2;
     endcase
@@ -11928,24 +11928,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_in1_sel = 3'h2;
     endcase
@@ -11953,24 +11953,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_in2_sel = 4'h1;
     endcase
@@ -11978,24 +11978,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_cr_in = 3'h0;
     endcase
@@ -12003,24 +12003,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_cr_out = 3'h0;
     endcase
@@ -12028,24 +12028,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_ldst_len = 4'h8;
     endcase
@@ -12053,24 +12053,24 @@ module LDST_dec31_dec_sub20(LDST_dec31_dec_sub20_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub20_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub20_upd = 2'h0;
     endcase
@@ -12086,10 +12086,10 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub21_SV_Ptype;
   reg [1:0] LDST_dec31_dec_sub21_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub21_br;
   reg LDST_dec31_dec_sub21_br;
   (* enum_base_type = "CRInSel" *)
@@ -12101,7 +12101,7 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub21_cr_in;
   reg [2:0] LDST_dec31_dec_sub21_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -12111,7 +12111,7 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub21_cr_out;
   reg [2:0] LDST_dec31_dec_sub21_cr_out;
   (* enum_base_type = "Function" *)
@@ -12130,7 +12130,7 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec31_dec_sub21_function_unit;
   reg [14:0] LDST_dec31_dec_sub21_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -12141,7 +12141,7 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub21_in1_sel;
   reg [2:0] LDST_dec31_dec_sub21_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -12160,7 +12160,7 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub21_in2_sel;
   reg [3:0] LDST_dec31_dec_sub21_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -12240,10 +12240,10 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec31_dec_sub21_internal_op;
   reg [6:0] LDST_dec31_dec_sub21_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub21_is_32b;
   reg LDST_dec31_dec_sub21_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -12252,20 +12252,20 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub21_ldst_len;
   reg [3:0] LDST_dec31_dec_sub21_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub21_rc_sel;
   reg [1:0] LDST_dec31_dec_sub21_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub21_sgn;
   reg LDST_dec31_dec_sub21_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub21_sgn_ext;
   reg LDST_dec31_dec_sub21_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -12273,58 +12273,58 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub21_upd;
   reg [1:0] LDST_dec31_dec_sub21_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_function_unit = 15'h0004;
     endcase
@@ -12332,48 +12332,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_rc_sel = 2'h0;
     endcase
@@ -12381,48 +12381,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_br = 1'h0;
     endcase
@@ -12430,48 +12430,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_sgn_ext = 1'h0;
     endcase
@@ -12479,48 +12479,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_is_32b = 1'h0;
     endcase
@@ -12528,48 +12528,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_sgn = 1'h0;
     endcase
@@ -12577,48 +12577,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_internal_op = 7'h26;
     endcase
@@ -12626,48 +12626,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_SV_Ptype = 2'h2;
     endcase
@@ -12675,48 +12675,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_in1_sel = 3'h2;
     endcase
@@ -12724,48 +12724,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_in2_sel = 4'h1;
     endcase
@@ -12773,48 +12773,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_cr_in = 3'h0;
     endcase
@@ -12822,48 +12822,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_cr_out = 3'h0;
     endcase
@@ -12871,48 +12871,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_ldst_len = 4'h4;
     endcase
@@ -12920,48 +12920,48 @@ module LDST_dec31_dec_sub21(LDST_dec31_dec_sub21_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub21_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           LDST_dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub21_upd = 2'h2;
     endcase
@@ -12977,10 +12977,10 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub22_SV_Ptype;
   reg [1:0] LDST_dec31_dec_sub22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub22_br;
   reg LDST_dec31_dec_sub22_br;
   (* enum_base_type = "CRInSel" *)
@@ -12992,7 +12992,7 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub22_cr_in;
   reg [2:0] LDST_dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -13002,7 +13002,7 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub22_cr_out;
   reg [2:0] LDST_dec31_dec_sub22_cr_out;
   (* enum_base_type = "Function" *)
@@ -13021,7 +13021,7 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec31_dec_sub22_function_unit;
   reg [14:0] LDST_dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -13032,7 +13032,7 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub22_in1_sel;
   reg [2:0] LDST_dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -13051,7 +13051,7 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub22_in2_sel;
   reg [3:0] LDST_dec31_dec_sub22_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -13131,10 +13131,10 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec31_dec_sub22_internal_op;
   reg [6:0] LDST_dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub22_is_32b;
   reg LDST_dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -13143,20 +13143,20 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub22_ldst_len;
   reg [3:0] LDST_dec31_dec_sub22_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub22_rc_sel;
   reg [1:0] LDST_dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub22_sgn;
   reg LDST_dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub22_sgn_ext;
   reg LDST_dec31_dec_sub22_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -13164,40 +13164,40 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub22_upd;
   reg [1:0] LDST_dec31_dec_sub22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_function_unit = 15'h0004;
     endcase
@@ -13205,30 +13205,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_rc_sel = 2'h1;
     endcase
@@ -13236,30 +13236,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_br = 1'h0;
     endcase
@@ -13267,30 +13267,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_sgn_ext = 1'h0;
     endcase
@@ -13298,30 +13298,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_is_32b = 1'h0;
     endcase
@@ -13329,30 +13329,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_sgn = 1'h0;
     endcase
@@ -13360,30 +13360,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_internal_op = 7'h26;
     endcase
@@ -13391,30 +13391,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_SV_Ptype = 2'h2;
     endcase
@@ -13422,30 +13422,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_in1_sel = 3'h2;
     endcase
@@ -13453,30 +13453,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_in2_sel = 4'h1;
     endcase
@@ -13484,30 +13484,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_cr_in = 3'h0;
     endcase
@@ -13515,30 +13515,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_cr_out = 3'h1;
     endcase
@@ -13546,30 +13546,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_ldst_len = 4'h4;
     endcase
@@ -13577,30 +13577,30 @@ module LDST_dec31_dec_sub22(LDST_dec31_dec_sub22_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub22_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub22_upd = 2'h0;
     endcase
@@ -13616,10 +13616,10 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub23_SV_Ptype;
   reg [1:0] LDST_dec31_dec_sub23_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub23_br;
   reg LDST_dec31_dec_sub23_br;
   (* enum_base_type = "CRInSel" *)
@@ -13631,7 +13631,7 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub23_cr_in;
   reg [2:0] LDST_dec31_dec_sub23_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -13641,7 +13641,7 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub23_cr_out;
   reg [2:0] LDST_dec31_dec_sub23_cr_out;
   (* enum_base_type = "Function" *)
@@ -13660,7 +13660,7 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec31_dec_sub23_function_unit;
   reg [14:0] LDST_dec31_dec_sub23_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -13671,7 +13671,7 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec31_dec_sub23_in1_sel;
   reg [2:0] LDST_dec31_dec_sub23_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -13690,7 +13690,7 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub23_in2_sel;
   reg [3:0] LDST_dec31_dec_sub23_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -13770,10 +13770,10 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec31_dec_sub23_internal_op;
   reg [6:0] LDST_dec31_dec_sub23_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub23_is_32b;
   reg LDST_dec31_dec_sub23_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -13782,20 +13782,20 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec31_dec_sub23_ldst_len;
   reg [3:0] LDST_dec31_dec_sub23_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub23_rc_sel;
   reg [1:0] LDST_dec31_dec_sub23_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub23_sgn;
   reg LDST_dec31_dec_sub23_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec31_dec_sub23_sgn_ext;
   reg LDST_dec31_dec_sub23_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -13803,91 +13803,91 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec31_dec_sub23_upd;
   reg [1:0] LDST_dec31_dec_sub23_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_function_unit = 15'h0004;
     endcase
@@ -13895,81 +13895,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_rc_sel = 2'h0;
     endcase
@@ -13977,81 +13977,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_br = 1'h0;
     endcase
@@ -14059,81 +14059,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_sgn_ext = 1'h0;
     endcase
@@ -14141,81 +14141,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_is_32b = 1'h0;
     endcase
@@ -14223,81 +14223,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_sgn = 1'h0;
     endcase
@@ -14305,81 +14305,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_internal_op = 7'h26;
     endcase
@@ -14387,81 +14387,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_SV_Ptype = 2'h2;
     endcase
@@ -14469,81 +14469,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_in1_sel = 3'h2;
     endcase
@@ -14551,81 +14551,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_in2_sel = 4'h1;
     endcase
@@ -14633,81 +14633,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_cr_in = 3'h0;
     endcase
@@ -14715,81 +14715,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_cr_out = 3'h0;
     endcase
@@ -14797,81 +14797,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_ldst_len = 4'h4;
     endcase
@@ -14879,81 +14879,81 @@ module LDST_dec31_dec_sub23(LDST_dec31_dec_sub23_function_unit, LDST_dec31_dec_s
   always @* begin
     if (\initial ) begin end
     LDST_dec31_dec_sub23_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LDST_dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LDST_dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LDST_dec31_dec_sub23_upd = 2'h0;
     endcase
@@ -14969,10 +14969,10 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec58_SV_Ptype;
   reg [1:0] LDST_dec58_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec58_br;
   reg LDST_dec58_br;
   (* enum_base_type = "CRInSel" *)
@@ -14984,7 +14984,7 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec58_cr_in;
   reg [2:0] LDST_dec58_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -14994,7 +14994,7 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec58_cr_out;
   reg [2:0] LDST_dec58_cr_out;
   (* enum_base_type = "Function" *)
@@ -15013,7 +15013,7 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec58_function_unit;
   reg [14:0] LDST_dec58_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -15024,7 +15024,7 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec58_in1_sel;
   reg [2:0] LDST_dec58_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -15043,7 +15043,7 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec58_in2_sel;
   reg [3:0] LDST_dec58_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -15123,10 +15123,10 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec58_internal_op;
   reg [6:0] LDST_dec58_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec58_is_32b;
   reg LDST_dec58_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -15135,20 +15135,20 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec58_ldst_len;
   reg [3:0] LDST_dec58_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec58_rc_sel;
   reg [1:0] LDST_dec58_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec58_sgn;
   reg LDST_dec58_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec58_sgn_ext;
   reg LDST_dec58_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -15156,25 +15156,25 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec58_upd;
   reg [1:0] LDST_dec58_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [1:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec58_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_function_unit = 15'h0004;
     endcase
@@ -15182,15 +15182,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_rc_sel = 2'h0;
     endcase
@@ -15198,15 +15198,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_br = 1'h0;
     endcase
@@ -15214,15 +15214,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_sgn_ext = 1'h1;
     endcase
@@ -15230,15 +15230,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_is_32b = 1'h0;
     endcase
@@ -15246,15 +15246,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_sgn = 1'h0;
     endcase
@@ -15262,15 +15262,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_internal_op = 7'h25;
     endcase
@@ -15278,15 +15278,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_SV_Ptype = 2'h2;
     endcase
@@ -15294,15 +15294,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_in1_sel = 3'h2;
     endcase
@@ -15310,15 +15310,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_in2_sel = 4'h8;
     endcase
@@ -15326,15 +15326,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_cr_in = 3'h0;
     endcase
@@ -15342,15 +15342,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_cr_out = 3'h0;
     endcase
@@ -15358,15 +15358,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_ldst_len = 4'h4;
     endcase
@@ -15374,15 +15374,15 @@ module LDST_dec58(LDST_dec58_function_unit, LDST_dec58_internal_op, LDST_dec58_S
   always @* begin
     if (\initial ) begin end
     LDST_dec58_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec58_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec58_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           LDST_dec58_upd = 2'h0;
     endcase
@@ -15398,10 +15398,10 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec62_SV_Ptype;
   reg [1:0] LDST_dec62_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec62_br;
   reg LDST_dec62_br;
   (* enum_base_type = "CRInSel" *)
@@ -15413,7 +15413,7 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec62_cr_in;
   reg [2:0] LDST_dec62_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -15423,7 +15423,7 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec62_cr_out;
   reg [2:0] LDST_dec62_cr_out;
   (* enum_base_type = "Function" *)
@@ -15442,7 +15442,7 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_dec62_function_unit;
   reg [14:0] LDST_dec62_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -15453,7 +15453,7 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_dec62_in1_sel;
   reg [2:0] LDST_dec62_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -15472,7 +15472,7 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec62_in2_sel;
   reg [3:0] LDST_dec62_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -15552,10 +15552,10 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_dec62_internal_op;
   reg [6:0] LDST_dec62_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec62_is_32b;
   reg LDST_dec62_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -15564,20 +15564,20 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_dec62_ldst_len;
   reg [3:0] LDST_dec62_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec62_rc_sel;
   reg [1:0] LDST_dec62_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec62_sgn;
   reg LDST_dec62_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_dec62_sgn_ext;
   reg LDST_dec62_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
@@ -15585,22 +15585,22 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_dec62_upd;
   reg [1:0] LDST_dec62_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [1:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LDST_dec62_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_function_unit = 15'h0004;
     endcase
@@ -15608,12 +15608,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_rc_sel = 2'h0;
     endcase
@@ -15621,12 +15621,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_br = 1'h0;
     endcase
@@ -15634,12 +15634,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_sgn_ext = 1'h0;
     endcase
@@ -15647,12 +15647,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_is_32b = 1'h0;
     endcase
@@ -15660,12 +15660,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_sgn = 1'h0;
     endcase
@@ -15673,12 +15673,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_internal_op = 7'h26;
     endcase
@@ -15686,12 +15686,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_SV_Ptype = 2'h2;
     endcase
@@ -15699,12 +15699,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_in1_sel = 3'h2;
     endcase
@@ -15712,12 +15712,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_in2_sel = 4'h8;
     endcase
@@ -15725,12 +15725,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_cr_in = 3'h0;
     endcase
@@ -15738,12 +15738,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_cr_out = 3'h0;
     endcase
@@ -15751,12 +15751,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_ldst_len = 4'h8;
     endcase
@@ -15764,12 +15764,12 @@ module LDST_dec62(LDST_dec62_function_unit, LDST_dec62_internal_op, LDST_dec62_S
   always @* begin
     if (\initial ) begin end
     LDST_dec62_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           LDST_dec62_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           LDST_dec62_upd = 2'h1;
     endcase
@@ -15785,7 +15785,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_SV_Ptype;
   reg [1:0] LOGICAL_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -15797,7 +15797,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_cr_in;
   reg [2:0] LOGICAL_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -15807,24 +15807,24 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_cr_out;
   reg [2:0] LOGICAL_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_cry_in;
   reg [1:0] LOGICAL_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_cry_out;
   reg LOGICAL_dec31_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -15835,7 +15835,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -15844,15 +15844,15 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -15870,7 +15870,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -15880,7 +15880,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -15898,7 +15898,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -15977,13 +15977,13 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -15991,23 +15991,23 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LOGICAL_dec31_dec_sub26_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -16018,7 +16018,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -16027,15 +16027,15 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -16053,7 +16053,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -16063,7 +16063,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -16081,7 +16081,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -16160,13 +16160,13 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -16174,17 +16174,17 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LOGICAL_dec31_dec_sub28_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -16202,7 +16202,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LOGICAL_dec31_function_unit;
   reg [14:0] LOGICAL_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -16213,7 +16213,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_in1_sel;
   reg [2:0] LOGICAL_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -16232,7 +16232,7 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_in2_sel;
   reg [3:0] LOGICAL_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -16312,16 +16312,16 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LOGICAL_dec31_internal_op;
   reg [6:0] LOGICAL_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_inv_a;
   reg LOGICAL_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_inv_out;
   reg LOGICAL_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_is_32b;
   reg LOGICAL_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -16330,24 +16330,24 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_ldst_len;
   reg [3:0] LOGICAL_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_rc_sel;
   reg [1:0] LOGICAL_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_sgn;
   reg LOGICAL_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   LOGICAL_dec31_dec_sub26 LOGICAL_dec31_dec_sub26 (
     .LOGICAL_dec31_dec_sub26_SV_Ptype(LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype),
@@ -16388,12 +16388,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_cr_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_cr_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_out;
     endcase
@@ -16401,12 +16401,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_ldst_len = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_ldst_len = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_ldst_len;
     endcase
@@ -16414,12 +16414,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_rc_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_rc_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_rc_sel;
     endcase
@@ -16427,12 +16427,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_cry_in = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_cry_in = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_in;
     endcase
@@ -16440,12 +16440,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_inv_a = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_inv_a = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_a;
     endcase
@@ -16453,12 +16453,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_inv_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_inv_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_inv_out;
     endcase
@@ -16466,12 +16466,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_cry_out = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_cry_out = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cry_out;
     endcase
@@ -16479,12 +16479,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_is_32b = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_is_32b = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_is_32b;
     endcase
@@ -16492,12 +16492,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_sgn = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_sgn = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_sgn;
     endcase
@@ -16505,12 +16505,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_function_unit = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_function_unit = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_function_unit;
     endcase
@@ -16518,12 +16518,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_internal_op = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_internal_op = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_internal_op;
     endcase
@@ -16531,12 +16531,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_SV_Ptype = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_SV_Ptype = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_SV_Ptype;
     endcase
@@ -16544,12 +16544,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_in1_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_in1_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in1_sel;
     endcase
@@ -16557,12 +16557,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_in2_sel = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_in2_sel = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_in2_sel;
     endcase
@@ -16570,12 +16570,12 @@ module LOGICAL_dec31(LOGICAL_dec31_function_unit, LOGICAL_dec31_internal_op, LOG
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           LOGICAL_dec31_cr_in = LOGICAL_dec31_dec_sub28_LOGICAL_dec31_dec_sub28_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           LOGICAL_dec31_cr_in = LOGICAL_dec31_dec_sub26_LOGICAL_dec31_dec_sub26_cr_in;
     endcase
@@ -16594,7 +16594,7 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub26_SV_Ptype;
   reg [1:0] LOGICAL_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -16606,7 +16606,7 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub26_cr_in;
   reg [2:0] LOGICAL_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -16616,17 +16616,17 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub26_cr_out;
   reg [2:0] LOGICAL_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub26_cry_in;
   reg [1:0] LOGICAL_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub26_cry_out;
   reg LOGICAL_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
@@ -16645,7 +16645,7 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LOGICAL_dec31_dec_sub26_function_unit;
   reg [14:0] LOGICAL_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -16656,7 +16656,7 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub26_in1_sel;
   reg [2:0] LOGICAL_dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -16675,7 +16675,7 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_dec_sub26_in2_sel;
   reg [3:0] LOGICAL_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -16755,16 +16755,16 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LOGICAL_dec31_dec_sub26_internal_op;
   reg [6:0] LOGICAL_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub26_inv_a;
   reg LOGICAL_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub26_inv_out;
   reg LOGICAL_dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub26_is_32b;
   reg LOGICAL_dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -16773,53 +16773,53 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_dec_sub26_ldst_len;
   reg [3:0] LOGICAL_dec31_dec_sub26_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub26_rc_sel;
   reg [1:0] LOGICAL_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub26_sgn;
   reg LOGICAL_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_function_unit = 15'h0010;
     endcase
@@ -16827,33 +16827,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_cry_in = 2'h0;
     endcase
@@ -16861,33 +16861,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_inv_a = 1'h0;
     endcase
@@ -16895,33 +16895,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_inv_out = 1'h0;
     endcase
@@ -16929,33 +16929,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_cry_out = 1'h0;
     endcase
@@ -16963,33 +16963,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_is_32b = 1'h0;
     endcase
@@ -16997,33 +16997,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_sgn = 1'h0;
     endcase
@@ -17031,33 +17031,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h37;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_internal_op = 7'h37;
     endcase
@@ -17065,33 +17065,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_SV_Ptype = 2'h2;
     endcase
@@ -17099,33 +17099,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_in1_sel = 3'h4;
     endcase
@@ -17133,33 +17133,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_in2_sel = 4'h0;
     endcase
@@ -17167,33 +17167,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_cr_in = 3'h0;
     endcase
@@ -17201,33 +17201,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_cr_out = 3'h0;
     endcase
@@ -17235,33 +17235,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_ldst_len = 4'h4;
     endcase
@@ -17269,33 +17269,33 @@ module LOGICAL_dec31_dec_sub26(LOGICAL_dec31_dec_sub26_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           LOGICAL_dec31_dec_sub26_rc_sel = 2'h0;
     endcase
@@ -17311,7 +17311,7 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub28_SV_Ptype;
   reg [1:0] LOGICAL_dec31_dec_sub28_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -17323,7 +17323,7 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub28_cr_in;
   reg [2:0] LOGICAL_dec31_dec_sub28_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -17333,17 +17333,17 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub28_cr_out;
   reg [2:0] LOGICAL_dec31_dec_sub28_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub28_cry_in;
   reg [1:0] LOGICAL_dec31_dec_sub28_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub28_cry_out;
   reg LOGICAL_dec31_dec_sub28_cry_out;
   (* enum_base_type = "Function" *)
@@ -17362,7 +17362,7 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LOGICAL_dec31_dec_sub28_function_unit;
   reg [14:0] LOGICAL_dec31_dec_sub28_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -17373,7 +17373,7 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_dec31_dec_sub28_in1_sel;
   reg [2:0] LOGICAL_dec31_dec_sub28_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -17392,7 +17392,7 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_dec_sub28_in2_sel;
   reg [3:0] LOGICAL_dec31_dec_sub28_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -17472,16 +17472,16 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LOGICAL_dec31_dec_sub28_internal_op;
   reg [6:0] LOGICAL_dec31_dec_sub28_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub28_inv_a;
   reg LOGICAL_dec31_dec_sub28_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub28_inv_out;
   reg LOGICAL_dec31_dec_sub28_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub28_is_32b;
   reg LOGICAL_dec31_dec_sub28_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -17490,56 +17490,56 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_dec31_dec_sub28_ldst_len;
   reg [3:0] LOGICAL_dec31_dec_sub28_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_dec31_dec_sub28_rc_sel;
   reg [1:0] LOGICAL_dec31_dec_sub28_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_dec31_dec_sub28_sgn;
   reg LOGICAL_dec31_dec_sub28_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_function_unit = 15'h0010;
     endcase
@@ -17547,36 +17547,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_cry_in = 2'h0;
     endcase
@@ -17584,36 +17584,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_inv_a = 1'h0;
     endcase
@@ -17621,36 +17621,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_inv_out = 1'h0;
     endcase
@@ -17658,36 +17658,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_cry_out = 1'h0;
     endcase
@@ -17695,36 +17695,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_is_32b = 1'h0;
     endcase
@@ -17732,36 +17732,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_sgn = 1'h0;
     endcase
@@ -17769,36 +17769,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h0b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h43;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_internal_op = 7'h43;
     endcase
@@ -17806,36 +17806,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_SV_Ptype = 2'h1;
     endcase
@@ -17843,36 +17843,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_in1_sel = 3'h4;
     endcase
@@ -17880,36 +17880,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_in2_sel = 4'h1;
     endcase
@@ -17917,36 +17917,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_cr_in = 3'h0;
     endcase
@@ -17954,36 +17954,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_cr_out = 3'h1;
     endcase
@@ -17991,36 +17991,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_ldst_len = 4'h0;
     endcase
@@ -18028,36 +18028,36 @@ module LOGICAL_dec31_dec_sub28(LOGICAL_dec31_dec_sub28_function_unit, LOGICAL_de
   always @* begin
     if (\initial ) begin end
     LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           LOGICAL_dec31_dec_sub28_rc_sel = 2'h2;
     endcase
@@ -18073,7 +18073,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_SV_Ptype;
   reg [1:0] MUL_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -18085,7 +18085,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_cr_in;
   reg [2:0] MUL_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -18095,14 +18095,14 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_cr_out;
   reg [2:0] MUL_dec31_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -18113,7 +18113,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -18122,7 +18122,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -18140,7 +18140,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -18158,7 +18158,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -18237,25 +18237,25 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] MUL_dec31_dec_sub11_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -18266,7 +18266,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -18275,7 +18275,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -18293,7 +18293,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -18311,7 +18311,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -18390,19 +18390,19 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] MUL_dec31_dec_sub9_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -18420,7 +18420,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] MUL_dec31_function_unit;
   reg [14:0] MUL_dec31_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -18439,7 +18439,7 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] MUL_dec31_in2_sel;
   reg [3:0] MUL_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -18519,27 +18519,27 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] MUL_dec31_internal_op;
   reg [6:0] MUL_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_is_32b;
   reg MUL_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_rc_sel;
   reg [1:0] MUL_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_sgn;
   reg MUL_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   MUL_dec31_dec_sub11 MUL_dec31_dec_sub11 (
     .MUL_dec31_dec_sub11_SV_Ptype(MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype),
@@ -18568,12 +18568,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_rc_sel = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_rc_sel = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_rc_sel;
     endcase
@@ -18581,12 +18581,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_is_32b = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_is_32b = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_is_32b;
     endcase
@@ -18594,12 +18594,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_sgn = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_sgn = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_sgn;
     endcase
@@ -18607,12 +18607,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_function_unit = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_function_unit = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_function_unit;
     endcase
@@ -18620,12 +18620,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_internal_op = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_internal_op = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_internal_op;
     endcase
@@ -18633,12 +18633,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_SV_Ptype = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_SV_Ptype = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_SV_Ptype;
     endcase
@@ -18646,12 +18646,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_in2_sel = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_in2_sel = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_in2_sel;
     endcase
@@ -18659,12 +18659,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_cr_in = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_cr_in = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_in;
     endcase
@@ -18672,12 +18672,12 @@ module MUL_dec31(MUL_dec31_function_unit, MUL_dec31_internal_op, MUL_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     MUL_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           MUL_dec31_cr_out = MUL_dec31_dec_sub9_MUL_dec31_dec_sub9_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           MUL_dec31_cr_out = MUL_dec31_dec_sub11_MUL_dec31_dec_sub11_cr_out;
     endcase
@@ -18696,7 +18696,7 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_dec_sub11_SV_Ptype;
   reg [1:0] MUL_dec31_dec_sub11_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -18708,7 +18708,7 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_dec_sub11_cr_in;
   reg [2:0] MUL_dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -18718,7 +18718,7 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_dec_sub11_cr_out;
   reg [2:0] MUL_dec31_dec_sub11_cr_out;
   (* enum_base_type = "Function" *)
@@ -18737,7 +18737,7 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] MUL_dec31_dec_sub11_function_unit;
   reg [14:0] MUL_dec31_dec_sub11_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -18756,7 +18756,7 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] MUL_dec31_dec_sub11_in2_sel;
   reg [3:0] MUL_dec31_dec_sub11_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -18836,47 +18836,47 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] MUL_dec31_dec_sub11_internal_op;
   reg [6:0] MUL_dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_dec_sub11_is_32b;
   reg MUL_dec31_dec_sub11_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_dec_sub11_rc_sel;
   reg [1:0] MUL_dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_dec_sub11_sgn;
   reg MUL_dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_function_unit = 15'h0100;
     endcase
@@ -18884,24 +18884,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_internal_op = 7'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_internal_op = 7'h32;
     endcase
@@ -18909,24 +18909,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_SV_Ptype = 2'h1;
     endcase
@@ -18934,24 +18934,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_in2_sel = 4'h1;
     endcase
@@ -18959,24 +18959,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_cr_in = 3'h0;
     endcase
@@ -18984,24 +18984,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_cr_out = 3'h1;
     endcase
@@ -19009,24 +19009,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_rc_sel = 2'h2;
     endcase
@@ -19034,24 +19034,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_is_32b = 1'h1;
     endcase
@@ -19059,24 +19059,24 @@ module MUL_dec31_dec_sub11(MUL_dec31_dec_sub11_function_unit, MUL_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub11_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub11_sgn = 1'h1;
     endcase
@@ -19092,7 +19092,7 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_dec_sub9_SV_Ptype;
   reg [1:0] MUL_dec31_dec_sub9_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -19104,7 +19104,7 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_dec_sub9_cr_in;
   reg [2:0] MUL_dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -19114,7 +19114,7 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_dec31_dec_sub9_cr_out;
   reg [2:0] MUL_dec31_dec_sub9_cr_out;
   (* enum_base_type = "Function" *)
@@ -19133,7 +19133,7 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] MUL_dec31_dec_sub9_function_unit;
   reg [14:0] MUL_dec31_dec_sub9_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -19152,7 +19152,7 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] MUL_dec31_dec_sub9_in2_sel;
   reg [3:0] MUL_dec31_dec_sub9_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -19232,47 +19232,47 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] MUL_dec31_dec_sub9_internal_op;
   reg [6:0] MUL_dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_dec_sub9_is_32b;
   reg MUL_dec31_dec_sub9_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_dec31_dec_sub9_rc_sel;
   reg [1:0] MUL_dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_dec31_dec_sub9_sgn;
   reg MUL_dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_function_unit = 15'h0100;
     endcase
@@ -19280,24 +19280,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_internal_op = 7'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_internal_op = 7'h32;
     endcase
@@ -19305,24 +19305,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_SV_Ptype = 2'h1;
     endcase
@@ -19330,24 +19330,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_in2_sel = 4'h1;
     endcase
@@ -19355,24 +19355,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_cr_in = 3'h0;
     endcase
@@ -19380,24 +19380,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_cr_out = 3'h1;
     endcase
@@ -19405,24 +19405,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_rc_sel = 2'h2;
     endcase
@@ -19430,24 +19430,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_is_32b = 1'h0;
     endcase
@@ -19455,24 +19455,24 @@ module MUL_dec31_dec_sub9(MUL_dec31_dec_sub9_function_unit, MUL_dec31_dec_sub9_i
   always @* begin
     if (\initial ) begin end
     MUL_dec31_dec_sub9_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           MUL_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           MUL_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           MUL_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           MUL_dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           MUL_dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           MUL_dec31_dec_sub9_sgn = 1'h1;
     endcase
@@ -19488,7 +19488,7 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec30_SV_Ptype;
   reg [1:0] SHIFT_ROT_dec30_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -19500,7 +19500,7 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec30_cr_in;
   reg [2:0] SHIFT_ROT_dec30_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -19510,17 +19510,17 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec30_cr_out;
   reg [2:0] SHIFT_ROT_dec30_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec30_cry_in;
   reg [1:0] SHIFT_ROT_dec30_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec30_cry_out;
   reg SHIFT_ROT_dec30_cry_out;
   (* enum_base_type = "Function" *)
@@ -19539,7 +19539,7 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_dec30_function_unit;
   reg [14:0] SHIFT_ROT_dec30_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -19558,7 +19558,7 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_dec30_in2_sel;
   reg [3:0] SHIFT_ROT_dec30_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -19638,62 +19638,62 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_dec30_internal_op;
   reg [6:0] SHIFT_ROT_dec30_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec30_inv_a;
   reg SHIFT_ROT_dec30_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec30_is_32b;
   reg SHIFT_ROT_dec30_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec30_rc_sel;
   reg [1:0] SHIFT_ROT_dec30_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec30_sgn;
   reg SHIFT_ROT_dec30_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [3:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_function_unit = 15'h0008;
     endcase
@@ -19701,36 +19701,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_cry_out = 1'h0;
     endcase
@@ -19738,36 +19738,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_is_32b = 1'h0;
     endcase
@@ -19775,36 +19775,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_sgn = 1'h0;
     endcase
@@ -19812,36 +19812,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_internal_op = 7'h3a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_internal_op = 7'h3a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_internal_op = 7'h3a;
     endcase
@@ -19849,36 +19849,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_SV_Ptype = 2'h1;
     endcase
@@ -19886,36 +19886,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_in2_sel = 4'h1;
     endcase
@@ -19923,36 +19923,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_cr_in = 3'h0;
     endcase
@@ -19960,36 +19960,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_cr_out = 3'h1;
     endcase
@@ -19997,36 +19997,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_rc_sel = 2'h2;
     endcase
@@ -20034,36 +20034,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_cry_in = 2'h0;
     endcase
@@ -20071,36 +20071,36 @@ module SHIFT_ROT_dec30(SHIFT_ROT_dec30_function_unit, SHIFT_ROT_dec30_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec30_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           SHIFT_ROT_dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           SHIFT_ROT_dec30_inv_a = 1'h0;
     endcase
@@ -20116,7 +20116,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_SV_Ptype;
   reg [1:0] SHIFT_ROT_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -20128,7 +20128,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_cr_in;
   reg [2:0] SHIFT_ROT_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -20138,24 +20138,24 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_cr_out;
   reg [2:0] SHIFT_ROT_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_cry_in;
   reg [1:0] SHIFT_ROT_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_cry_out;
   reg SHIFT_ROT_dec31_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20166,7 +20166,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20175,15 +20175,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -20201,7 +20201,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -20219,7 +20219,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -20298,27 +20298,27 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SHIFT_ROT_dec31_dec_sub24_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20329,7 +20329,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20338,15 +20338,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -20364,7 +20364,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -20382,7 +20382,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -20461,27 +20461,27 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SHIFT_ROT_dec31_dec_sub26_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20492,7 +20492,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -20501,15 +20501,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -20527,7 +20527,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -20545,7 +20545,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -20624,21 +20624,21 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SHIFT_ROT_dec31_dec_sub27_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -20656,7 +20656,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_dec31_function_unit;
   reg [14:0] SHIFT_ROT_dec31_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -20675,7 +20675,7 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_dec31_in2_sel;
   reg [3:0] SHIFT_ROT_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -20755,30 +20755,30 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_dec31_internal_op;
   reg [6:0] SHIFT_ROT_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_inv_a;
   reg SHIFT_ROT_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_is_32b;
   reg SHIFT_ROT_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_rc_sel;
   reg [1:0] SHIFT_ROT_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_sgn;
   reg SHIFT_ROT_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   SHIFT_ROT_dec31_dec_sub24 SHIFT_ROT_dec31_dec_sub24 (
     .SHIFT_ROT_dec31_dec_sub24_SV_Ptype(SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype),
@@ -20828,15 +20828,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_cr_out = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_out;
     endcase
@@ -20844,15 +20844,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_rc_sel = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_rc_sel;
     endcase
@@ -20860,15 +20860,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_cry_in = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_in;
     endcase
@@ -20876,15 +20876,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_inv_a = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_inv_a;
     endcase
@@ -20892,15 +20892,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_cry_out = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cry_out;
     endcase
@@ -20908,15 +20908,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_is_32b = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_is_32b;
     endcase
@@ -20924,15 +20924,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_sgn = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_sgn;
     endcase
@@ -20940,15 +20940,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_function_unit = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_function_unit;
     endcase
@@ -20956,15 +20956,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_internal_op = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_internal_op;
     endcase
@@ -20972,15 +20972,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_SV_Ptype = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
     endcase
@@ -20988,15 +20988,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_in2_sel = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_in2_sel;
     endcase
@@ -21004,15 +21004,15 @@ module SHIFT_ROT_dec31(SHIFT_ROT_dec31_function_unit, SHIFT_ROT_dec31_internal_o
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub26_SHIFT_ROT_dec31_dec_sub26_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub27_SHIFT_ROT_dec31_dec_sub27_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_cr_in = SHIFT_ROT_dec31_dec_sub24_SHIFT_ROT_dec31_dec_sub24_cr_in;
     endcase
@@ -21032,7 +21032,7 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
   reg [1:0] SHIFT_ROT_dec31_dec_sub24_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -21044,7 +21044,7 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub24_cr_in;
   reg [2:0] SHIFT_ROT_dec31_dec_sub24_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -21054,17 +21054,17 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub24_cr_out;
   reg [2:0] SHIFT_ROT_dec31_dec_sub24_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub24_cry_in;
   reg [1:0] SHIFT_ROT_dec31_dec_sub24_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub24_cry_out;
   reg SHIFT_ROT_dec31_dec_sub24_cry_out;
   (* enum_base_type = "Function" *)
@@ -21083,7 +21083,7 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_dec31_dec_sub24_function_unit;
   reg [14:0] SHIFT_ROT_dec31_dec_sub24_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -21102,7 +21102,7 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_dec31_dec_sub24_in2_sel;
   reg [3:0] SHIFT_ROT_dec31_dec_sub24_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -21182,44 +21182,44 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_dec31_dec_sub24_internal_op;
   reg [6:0] SHIFT_ROT_dec31_dec_sub24_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub24_inv_a;
   reg SHIFT_ROT_dec31_dec_sub24_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub24_is_32b;
   reg SHIFT_ROT_dec31_dec_sub24_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub24_rc_sel;
   reg [1:0] SHIFT_ROT_dec31_dec_sub24_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub24_sgn;
   reg SHIFT_ROT_dec31_dec_sub24_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_function_unit = 15'h0008;
     endcase
@@ -21227,18 +21227,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_cry_out = 1'h0;
     endcase
@@ -21246,18 +21246,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_is_32b = 1'h1;
     endcase
@@ -21265,18 +21265,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_sgn = 1'h0;
     endcase
@@ -21284,18 +21284,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_internal_op = 7'h3d;
     endcase
@@ -21303,18 +21303,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_SV_Ptype = 2'h1;
     endcase
@@ -21322,18 +21322,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_in2_sel = 4'h1;
     endcase
@@ -21341,18 +21341,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_cr_in = 3'h0;
     endcase
@@ -21360,18 +21360,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_cr_out = 3'h1;
     endcase
@@ -21379,18 +21379,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_rc_sel = 2'h2;
     endcase
@@ -21398,18 +21398,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_cry_in = 2'h0;
     endcase
@@ -21417,18 +21417,18 @@ module SHIFT_ROT_dec31_dec_sub24(SHIFT_ROT_dec31_dec_sub24_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub24_inv_a = 1'h0;
     endcase
@@ -21444,7 +21444,7 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
   reg [1:0] SHIFT_ROT_dec31_dec_sub26_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -21456,7 +21456,7 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub26_cr_in;
   reg [2:0] SHIFT_ROT_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -21466,17 +21466,17 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub26_cr_out;
   reg [2:0] SHIFT_ROT_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub26_cry_in;
   reg [1:0] SHIFT_ROT_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub26_cry_out;
   reg SHIFT_ROT_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Function" *)
@@ -21495,7 +21495,7 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_dec31_dec_sub26_function_unit;
   reg [14:0] SHIFT_ROT_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -21514,7 +21514,7 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_dec31_dec_sub26_in2_sel;
   reg [3:0] SHIFT_ROT_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -21594,41 +21594,41 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_dec31_dec_sub26_internal_op;
   reg [6:0] SHIFT_ROT_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub26_inv_a;
   reg SHIFT_ROT_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub26_is_32b;
   reg SHIFT_ROT_dec31_dec_sub26_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub26_rc_sel;
   reg [1:0] SHIFT_ROT_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub26_sgn;
   reg SHIFT_ROT_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_function_unit = 15'h0008;
     endcase
@@ -21636,15 +21636,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_cry_out = 1'h1;
     endcase
@@ -21652,15 +21652,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_is_32b = 1'h0;
     endcase
@@ -21668,15 +21668,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_sgn = 1'h1;
     endcase
@@ -21684,15 +21684,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h20;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_internal_op = 7'h3d;
     endcase
@@ -21700,15 +21700,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_SV_Ptype = 2'h2;
     endcase
@@ -21716,15 +21716,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_in2_sel = 4'ha;
     endcase
@@ -21732,15 +21732,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_cr_in = 3'h0;
     endcase
@@ -21748,15 +21748,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_cr_out = 3'h1;
     endcase
@@ -21764,15 +21764,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_rc_sel = 2'h2;
     endcase
@@ -21780,15 +21780,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_cry_in = 2'h0;
     endcase
@@ -21796,15 +21796,15 @@ module SHIFT_ROT_dec31_dec_sub26(SHIFT_ROT_dec31_dec_sub26_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub26_inv_a = 1'h0;
     endcase
@@ -21820,7 +21820,7 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
   reg [1:0] SHIFT_ROT_dec31_dec_sub27_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -21832,7 +21832,7 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub27_cr_in;
   reg [2:0] SHIFT_ROT_dec31_dec_sub27_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -21842,17 +21842,17 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_dec31_dec_sub27_cr_out;
   reg [2:0] SHIFT_ROT_dec31_dec_sub27_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub27_cry_in;
   reg [1:0] SHIFT_ROT_dec31_dec_sub27_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub27_cry_out;
   reg SHIFT_ROT_dec31_dec_sub27_cry_out;
   (* enum_base_type = "Function" *)
@@ -21871,7 +21871,7 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_dec31_dec_sub27_function_unit;
   reg [14:0] SHIFT_ROT_dec31_dec_sub27_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -21890,7 +21890,7 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_dec31_dec_sub27_in2_sel;
   reg [3:0] SHIFT_ROT_dec31_dec_sub27_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -21970,44 +21970,44 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_dec31_dec_sub27_internal_op;
   reg [6:0] SHIFT_ROT_dec31_dec_sub27_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub27_inv_a;
   reg SHIFT_ROT_dec31_dec_sub27_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub27_is_32b;
   reg SHIFT_ROT_dec31_dec_sub27_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_dec31_dec_sub27_rc_sel;
   reg [1:0] SHIFT_ROT_dec31_dec_sub27_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_dec31_dec_sub27_sgn;
   reg SHIFT_ROT_dec31_dec_sub27_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_function_unit = 15'h0008;
     endcase
@@ -22015,18 +22015,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_cry_out = 1'h0;
     endcase
@@ -22034,18 +22034,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_is_32b = 1'h0;
     endcase
@@ -22053,18 +22053,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_sgn = 1'h0;
     endcase
@@ -22072,18 +22072,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h20;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_internal_op = 7'h3d;
     endcase
@@ -22091,18 +22091,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_SV_Ptype = 2'h1;
     endcase
@@ -22110,18 +22110,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_in2_sel = 4'h1;
     endcase
@@ -22129,18 +22129,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_cr_in = 3'h0;
     endcase
@@ -22148,18 +22148,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_cr_out = 3'h1;
     endcase
@@ -22167,18 +22167,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_rc_sel = 2'h2;
     endcase
@@ -22186,18 +22186,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_cry_in = 2'h0;
     endcase
@@ -22205,18 +22205,18 @@ module SHIFT_ROT_dec31_dec_sub27(SHIFT_ROT_dec31_dec_sub27_function_unit, SHIFT_
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           SHIFT_ROT_dec31_dec_sub27_inv_a = 1'h0;
     endcase
@@ -22232,7 +22232,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SPR_dec31_SV_Ptype;
   reg [1:0] SPR_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -22244,7 +22244,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SPR_dec31_cr_in;
   reg [2:0] SPR_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -22254,14 +22254,14 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SPR_dec31_cr_out;
   reg [2:0] SPR_dec31_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -22272,7 +22272,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -22281,7 +22281,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -22299,7 +22299,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -22378,17 +22378,17 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SPR_dec31_dec_sub19_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -22406,7 +22406,7 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SPR_dec31_function_unit;
   reg [14:0] SPR_dec31_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -22486,24 +22486,24 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SPR_dec31_internal_op;
   reg [6:0] SPR_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SPR_dec31_is_32b;
   reg SPR_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SPR_dec31_rc_sel;
   reg [1:0] SPR_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   SPR_dec31_dec_sub19 SPR_dec31_dec_sub19 (
     .SPR_dec31_dec_sub19_SV_Ptype(SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype),
@@ -22518,9 +22518,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_function_unit = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_function_unit;
     endcase
@@ -22528,9 +22528,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_internal_op = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_internal_op;
     endcase
@@ -22538,9 +22538,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_SV_Ptype = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_SV_Ptype;
     endcase
@@ -22548,9 +22548,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_cr_in = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_in;
     endcase
@@ -22558,9 +22558,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_cr_out = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_cr_out;
     endcase
@@ -22568,9 +22568,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_rc_sel = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_rc_sel;
     endcase
@@ -22578,9 +22578,9 @@ module SPR_dec31(SPR_dec31_function_unit, SPR_dec31_internal_op, SPR_dec31_SV_Pt
   always @* begin
     if (\initial ) begin end
     SPR_dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           SPR_dec31_is_32b = SPR_dec31_dec_sub19_SPR_dec31_dec_sub19_is_32b;
     endcase
@@ -22598,7 +22598,7 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SPR_dec31_dec_sub19_SV_Ptype;
   reg [1:0] SPR_dec31_dec_sub19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
@@ -22610,7 +22610,7 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SPR_dec31_dec_sub19_cr_in;
   reg [2:0] SPR_dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -22620,7 +22620,7 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SPR_dec31_dec_sub19_cr_out;
   reg [2:0] SPR_dec31_dec_sub19_cr_out;
   (* enum_base_type = "Function" *)
@@ -22639,7 +22639,7 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SPR_dec31_dec_sub19_function_unit;
   reg [14:0] SPR_dec31_dec_sub19_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -22719,32 +22719,32 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SPR_dec31_dec_sub19_internal_op;
   reg [6:0] SPR_dec31_dec_sub19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SPR_dec31_dec_sub19_is_32b;
   reg SPR_dec31_dec_sub19_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SPR_dec31_dec_sub19_rc_sel;
   reg [1:0] SPR_dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_function_unit = 15'h0400;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_function_unit = 15'h0400;
     endcase
@@ -22752,12 +22752,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_internal_op = 7'h2e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_internal_op = 7'h31;
     endcase
@@ -22765,12 +22765,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_SV_Ptype = 2'h2;
     endcase
@@ -22778,12 +22778,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_cr_in = 3'h0;
     endcase
@@ -22791,12 +22791,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_cr_out = 3'h0;
     endcase
@@ -22804,12 +22804,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_rc_sel = 2'h0;
     endcase
@@ -22817,12 +22817,12 @@ module SPR_dec31_dec_sub19(SPR_dec31_dec_sub19_function_unit, SPR_dec31_dec_sub1
   always @* begin
     if (\initial ) begin end
     SPR_dec31_dec_sub19_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           SPR_dec31_dec_sub19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           SPR_dec31_dec_sub19_is_32b = 1'h0;
     endcase
@@ -23295,13 +23295,13 @@ module alu0(coresync_rst, oper_i_alu_alu0__insn_type, oper_i_alu_alu0__fn_unit,
   reg alu_alu0_alu_op__zero_a = 1'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg \alu_alu0_alu_op__zero_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_alu0_cr_a;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
   wire alu_alu0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_alu0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_alu0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_alu0_p_ready_o;
@@ -23311,13 +23311,13 @@ module alu0(coresync_rst, oper_i_alu_alu0__insn_type, oper_i_alu_alu0__fn_unit,
   wire [63:0] alu_alu0_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] alu_alu0_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_alu0_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \alu_alu0_xer_ca$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_alu0_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire alu_alu0_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \alu_alu0_xer_so$1 ;
@@ -23353,7 +23353,7 @@ module alu0(coresync_rst, oper_i_alu_alu0__insn_type, oper_i_alu_alu0__fn_unit,
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -23432,7 +23432,7 @@ module alu0(coresync_rst, oper_i_alu_alu0__insn_type, oper_i_alu_alu0__fn_unit,
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output dest5_o;
   reg dest5_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -23679,11 +23679,11 @@ module alu0(coresync_rst, oper_i_alu_alu0__insn_type, oper_i_alu_alu0__fn_unit,
   wire \src_sel$85 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   assign \$5  = & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:189" *) \$8 ;
   assign \$99  = alu_alu0_p_ready_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:327" *) alui_l_q_alui;
@@ -24656,9 +24656,9 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -24668,9 +24668,9 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -24974,9 +24974,9 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   wire pipe1_alu_op__zero_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \pipe1_alu_op__zero_a$13 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] pipe1_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe1_muxid;
@@ -24986,9 +24986,9 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   wire pipe1_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe1_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe1_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe1_p_ready_o;
@@ -24998,21 +24998,21 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   wire [63:0] pipe1_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe1_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe1_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \pipe1_xer_ca$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe1_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \pipe1_xer_so$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_so_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -25312,13 +25312,13 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   wire pipe2_alu_op__zero_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \pipe2_alu_op__zero_a$38 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] pipe2_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \pipe2_cr_a$53 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_cr_a_ok$54 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe2_muxid;
@@ -25328,61 +25328,61 @@ module alu_alu0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, n_
   wire pipe2_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe2_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe2_o$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_o_ok$52 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe2_p_ready_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
   wire pipe2_p_valid_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe2_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \pipe2_xer_ca$55 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_xer_ca_ok$56 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe2_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \pipe2_xer_ov$57 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_xer_ov_ok$58 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_xer_so$59 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_xer_so_ok$60 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ca$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   n n (
     .n_ready_i(n_ready_i),
@@ -25822,17 +25822,17 @@ module alu_branch0(coresync_rst, fast1_ok, fast2_ok, nia_ok, n_valid_o, n_ready_
   input coresync_rst;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast1$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast2;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast2$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -25842,9 +25842,9 @@ module alu_branch0(coresync_rst, fast1_ok, fast2_ok, nia_ok, n_valid_o, n_ready_
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -26104,15 +26104,15 @@ module alu_branch0(coresync_rst, fast1_ok, fast2_ok, nia_ok, n_valid_o, n_ready_
   wire [3:0] pipe_cr_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe_fast1$16 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe_fast2$17 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_fast2_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe_muxid;
@@ -26122,9 +26122,9 @@ module alu_branch0(coresync_rst, fast1_ok, fast2_ok, nia_ok, n_valid_o, n_ready_
   wire pipe_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe_nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_nia_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe_p_ready_o;
@@ -26205,11 +26205,11 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] \cr_a$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] cr_b;
@@ -26445,11 +26445,11 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   (* enum_value_10 = "UNSIGNED" *)
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire [1:0] \cr_op__sv_saturate$19 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] full_cr;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [31:0] \full_cr$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output full_cr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -26459,9 +26459,9 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -26469,9 +26469,9 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   input p_valid_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] pipe_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \pipe_cr_a$12 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] pipe_cr_b;
@@ -26709,9 +26709,9 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   wire [1:0] \pipe_cr_op__sv_saturate$9 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [31:0] pipe_full_cr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] \pipe_full_cr$11 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_full_cr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe_muxid;
@@ -26721,9 +26721,9 @@ module alu_cr0(coresync_rst, o_ok, full_cr_ok, cr_a_ok, n_valid_o, n_ready_i, cr
   wire pipe_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe_p_ready_o;
@@ -26808,9 +26808,9 @@ module alu_div0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -27118,17 +27118,17 @@ module alu_div0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
   input p_valid_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] pipe_end_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_end_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
   wire pipe_end_div_by_zero;
@@ -27446,9 +27446,9 @@ module alu_div0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   wire pipe_end_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe_end_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe_end_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_end_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe_end_p_ready_o;
@@ -27462,15 +27462,15 @@ module alu_div0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   wire [63:0] pipe_end_rb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
   wire [191:0] pipe_end_remainder;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe_end_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_end_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire pipe_end_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe_end_xer_so$82 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_end_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
   wire pipe_middle_0_div_by_zero;
@@ -28166,15 +28166,15 @@ module alu_div0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   \n$75  n (
     .n_ready_i(n_ready_i),
@@ -29073,9 +29073,9 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -29375,9 +29375,9 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   input logical_op__zero_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \logical_op__zero_a$62 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] logical_pipe1_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe1_cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -29685,9 +29685,9 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   wire logical_pipe1_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire logical_pipe1_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] logical_pipe1_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe1_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire logical_pipe1_p_ready_o;
@@ -29697,19 +29697,19 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   wire [63:0] logical_pipe1_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] logical_pipe1_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe1_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \logical_pipe1_xer_so$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe1_xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] logical_pipe2_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \logical_pipe2_cr_a$50 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe2_cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \logical_pipe2_cr_a_ok$51 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -30017,21 +30017,21 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   wire logical_pipe2_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire logical_pipe2_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] logical_pipe2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \logical_pipe2_o$48 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe2_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \logical_pipe2_o_ok$49 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire logical_pipe2_p_ready_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
   wire logical_pipe2_p_valid_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe2_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire logical_pipe2_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -30041,9 +30041,9 @@ module alu_logical0(coresync_rst, o_ok, cr_a_ok, n_valid_o, n_ready_i, logical_o
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -30220,9 +30220,9 @@ module alu_mul0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -31080,9 +31080,9 @@ module alu_mul0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   wire mul_pipe2_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \mul_pipe2_xer_so$39 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] mul_pipe3_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul_pipe3_cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -31364,23 +31364,23 @@ module alu_mul0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   wire mul_pipe3_neg_res32;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [128:0] mul_pipe3_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \mul_pipe3_o$59 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul_pipe3_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire mul_pipe3_p_ready_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
   wire mul_pipe3_p_valid_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] mul_pipe3_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul_pipe3_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire mul_pipe3_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \mul_pipe3_xer_so$60 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul_pipe3_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -31390,9 +31390,9 @@ module alu_mul0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -31402,15 +31402,15 @@ module alu_mul0(coresync_rst, o_ok, cr_a_ok, xer_ov_ok, xer_so_ok, n_valid_o, n_
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   mul_pipe1 mul_pipe1 (
     .coresync_clk(coresync_clk),
@@ -31617,9 +31617,9 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -31629,17 +31629,17 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:159" *)
   input p_valid_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] pipe1_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe1_muxid;
@@ -31649,9 +31649,9 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   wire pipe1_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe1_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe1_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe1_p_ready_o;
@@ -31957,25 +31957,25 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   wire pipe1_sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \pipe1_sr_op__write_cr0$11 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe1_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \pipe1_xer_ca$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \pipe1_xer_so$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe1_xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] pipe2_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \pipe2_cr_a$50 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_cr_a_ok$51 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe2_muxid;
@@ -31985,13 +31985,13 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   wire pipe2_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe2_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe2_o$48 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_o_ok$49 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe2_p_ready_o;
@@ -32291,17 +32291,17 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   wire pipe2_sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \pipe2_sr_op__write_cr0$35 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] pipe2_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \pipe2_xer_ca$52 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe2_xer_ca_ok$53 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] ra;
@@ -32603,11 +32603,11 @@ module alu_shift_rot0(coresync_rst, o_ok, cr_a_ok, xer_ca_ok, n_valid_o, n_ready
   input sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \sr_op__write_cr0$63 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ca$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
@@ -32784,11 +32784,11 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast1$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -32798,9 +32798,9 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -32808,9 +32808,9 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   input p_valid_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe_fast1$16 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe_muxid;
@@ -32820,9 +32820,9 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   wire pipe_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe_p_ready_o;
@@ -32832,9 +32832,9 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   wire [63:0] pipe_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe_spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe_spr1$15 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_spr1_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -33072,29 +33072,29 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   wire [1:0] \pipe_spr_op__sv_saturate$13 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] pipe_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \pipe_xer_ca$19 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_xer_ca_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] pipe_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \pipe_xer_ov$18 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire pipe_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \pipe_xer_so$17 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] ra;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] spr1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \spr1$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -33330,23 +33330,23 @@ module alu_spr0(coresync_rst, o_ok, xer_ca_ok, xer_ov_ok, xer_so_ok, fast1_ok, s
   (* enum_value_10 = "UNSIGNED" *)
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire [1:0] \spr_op__sv_saturate$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ca$5 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ov$4 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   \n$63  n (
     .n_ready_i(n_ready_i),
@@ -33430,27 +33430,27 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast1$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast2;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast2$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast3;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] \fast3$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output msr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] muxid;
@@ -33460,13 +33460,13 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -33764,25 +33764,25 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   wire [7:0] \pipe1_trap_op__traptype$12 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe2_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe2_fast1$39 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe2_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe2_fast2$40 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_fast2_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe2_fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \pipe2_fast3$41 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe2_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_msr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] pipe2_muxid;
@@ -33792,13 +33792,13 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   wire pipe2_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire pipe2_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe2_nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pipe2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire pipe2_p_ready_o;
@@ -33808,9 +33808,9 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   wire [63:0] pipe2_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] pipe2_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] pipe2_svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pipe2_svstate_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -34074,9 +34074,9 @@ module alu_trap0(coresync_rst, o_ok, fast1_ok, fast2_ok, fast3_ok, nia_ok, msr_o
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output svstate_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -36674,11 +36674,11 @@ module branch0(coresync_rst, oper_i_alu_branch0__cia, oper_i_alu_branch0__insn_t
   reg [1:0] \alu_branch0_br_op__sv_saturate$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] alu_branch0_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_branch0_fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_branch0_fast1$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_branch0_fast2;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_branch0_fast2$2 ;
@@ -36686,7 +36686,7 @@ module branch0(coresync_rst, oper_i_alu_branch0__cia, oper_i_alu_branch0__insn_t
   wire alu_branch0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_branch0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_branch0_nia;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_branch0_p_ready_o;
@@ -36779,11 +36779,11 @@ module branch0(coresync_rst, oper_i_alu_branch0__cia, oper_i_alu_branch0__insn_t
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [63:0] dest3_o;
   reg [63:0] dest3_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -41130,13 +41130,13 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_10 = "UNSIGNED" *)
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   input [1:0] core_core__sv_saturate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   input [63:0] core_core_cia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [7:0] core_core_cr_rd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_core_cr_rd_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [7:0] core_core_cr_wr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   input core_core_exc_alignment;
@@ -41170,15 +41170,15 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   input [14:0] core_core_fn_unit;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   input [1:0] core_core_input_carry;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   input [31:0] core_core_insn;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -41257,77 +41257,77 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   input [6:0] core_core_insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   input core_core_is_32bit;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   input [63:0] core_core_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_core_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_core_oe_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_core_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_core_rc_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   input [31:0] core_core_svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   input [12:0] core_core_trapaddr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   input [7:0] core_core_traptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_cr_in1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_cr_in1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_cr_in2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] \core_cr_in2$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_cr_in2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input \core_cr_in2_ok$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_cr_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_ea;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fasto1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fasto2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [2:0] core_fasto3;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:17" *)
   input [63:0] core_msr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
   input [63:0] core_pc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_reg1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_reg1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_reg2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_reg2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_reg3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_reg3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [6:0] core_rego;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000010010 = "DSISR" *)
@@ -41341,9 +41341,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_1011000000 = "SVSTATE" *)
   (* enum_value_1011010000 = "PRTBL" *)
   (* enum_value_1011010001 = "SVSRR0" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [9:0] core_spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input core_spr1_ok;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000010010 = "DSISR" *)
@@ -41357,14 +41357,14 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_1011000000 = "SVSTATE" *)
   (* enum_value_1011010000 = "PRTBL" *)
   (* enum_value_1011010001 = "SVSRR0" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [9:0] core_spro;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:123" *)
   output core_terminate_o;
   reg core_terminate_o = 1'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:123" *)
   reg \core_terminate_o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
   input [2:0] core_xer_in;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:120" *)
   output corebusy_o;
@@ -41564,9 +41564,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_ALU_ALU__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_ALU_ALU__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_ALU_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_ALU_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
   wire dec_ALU_sv_a_nz;
@@ -41679,9 +41679,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_BRANCH_BRANCH__is_32bit;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_BRANCH_BRANCH__lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_BRANCH_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_BRANCH_raw_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -41782,9 +41782,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   (* enum_value_1001110 = "OP_FPOP_I" *)
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire [6:0] dec_CR_CR__insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_CR_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_CR_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire [3:0] dec_DIV_DIV__data_len;
@@ -41919,9 +41919,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_DIV_DIV__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_DIV_DIV__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_DIV_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_DIV_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
   wire dec_DIV_sv_a_nz;
@@ -42057,9 +42057,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_LDST_LDST__sign_extend;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_LDST_LDST__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_LDST_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_LDST_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
   wire dec_LDST_sv_a_nz;
@@ -42196,9 +42196,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_LOGICAL_LOGICAL__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_LOGICAL_LOGICAL__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_LOGICAL_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_LOGICAL_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
   wire dec_LOGICAL_sv_a_nz;
@@ -42319,9 +42319,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_MUL_MUL__rc__rc;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_MUL_MUL__write_cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_MUL_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_MUL_raw_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -42454,9 +42454,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire dec_SHIFT_ROT_SHIFT_ROT__rc__rc;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_SHIFT_ROT_SHIFT_ROT__write_cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_SHIFT_ROT_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_SHIFT_ROT_raw_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -42559,9 +42559,9 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire [6:0] dec_SPR_SPR__insn_type;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire dec_SPR_SPR__is_32bit;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec_SPR_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   wire [31:0] dec_SPR_raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   input [4:0] dmi__addr;
@@ -42733,7 +42733,7 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   reg dp_XER_xer_so_spr0_2 = 1'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:305" *)
   reg \dp_XER_xer_so_spr0_2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire ea_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:210" *)
   wire en_alu0;
@@ -42779,17 +42779,17 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   output [5:0] full_rd__data_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   input [2:0] full_rd__ren;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_cr_a_ok$116 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_cr_a_ok$117 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_cr_a_ok$118 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_cr_a_ok$119 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_cr_a_ok$120 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   wire fus_cu_busy_o;
@@ -43005,25 +43005,25 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire [63:0] \fus_dest6_o$156 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   wire [31:0] fus_dest7_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] fus_ea;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_fast1_ok$144 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_fast1_ok$145 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_fast2_ok$146 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_full_cr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [95:0] fus_ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_ldst_port0_addr_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   wire fus_ldst_port0_addr_ok_o;
@@ -43051,39 +43051,39 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   wire fus_ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   wire fus_ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] fus_ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_ldst_port0_ld_data_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
   wire fus_ldst_port0_msr_pr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] fus_ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_ldst_port0_st_data_i_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_msr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_nia_ok$153 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] fus_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$101 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$104 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$86 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$89 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$92 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$95 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_o_ok$98 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -44446,7 +44446,7 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   reg [1:0] fus_oper_i_ldst_ldst0__sv_saturate;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg fus_oper_i_ldst_ldst0__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_spr1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
   reg [63:0] fus_src1_i;
@@ -44530,29 +44530,29 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   reg [1:0] fus_src6_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
   reg [3:0] \fus_src6_i$78 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_svstate_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_ca_ok$126 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_ca_ok$127 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_ov_ok$130 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_ov_ok$131 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_ov_ok$132 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire fus_xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_so_ok$135 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_so_ok$136 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fus_xer_so_ok$137 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [4:0] int_dest1__addr;
@@ -44586,7 +44586,7 @@ module core(coresync_rst, corebusy_o, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, c
   output [63:0] msr__data_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   input [2:0] msr__ren;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:303" *)
   wire pick_CR_cr_a_branch0_1;
@@ -54796,7 +54796,7 @@ module cr0(coresync_rst, oper_i_alu_cr0__insn_type, oper_i_alu_cr0__fn_unit, ope
   wire all_rd_pulse;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
   wire all_rd_rise;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_cr0_cr_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] \alu_cr0_cr_a$2 ;
@@ -54933,7 +54933,7 @@ module cr0(coresync_rst, oper_i_alu_cr0__insn_type, oper_i_alu_cr0__fn_unit, ope
   reg [1:0] alu_cr0_cr_op__sv_saturate = 2'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg [1:0] \alu_cr0_cr_op__sv_saturate$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] alu_cr0_full_cr;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [31:0] \alu_cr0_full_cr$1 ;
@@ -54941,7 +54941,7 @@ module cr0(coresync_rst, oper_i_alu_cr0__insn_type, oper_i_alu_cr0__fn_unit, ope
   wire alu_cr0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_cr0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_cr0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_cr0_p_ready_o;
@@ -54983,7 +54983,7 @@ module cr0(coresync_rst, oper_i_alu_cr0__insn_type, oper_i_alu_cr0__fn_unit, ope
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -55040,9 +55040,9 @@ module cr0(coresync_rst, oper_i_alu_cr0__insn_type, oper_i_alu_cr0__fn_unit, ope
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [3:0] dest3_o;
   reg [3:0] dest3_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output full_cr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -56594,91 +56594,91 @@ endmodule
 (* generator = "nMigen" *)
 module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_function_unit, ALU_in1_sel, ALU_in2_sel, ALU_cr_out, ALU_ldst_len, ALU_inv_a, ALU_inv_out, ALU_cry_in, ALU_cry_out, ALU_is_32b, ALU_sgn, ALU_RA, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_Rc, ALU_OE, ALU_BD, ALU_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire ALU_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] ALU_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] ALU_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] ALU_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] ALU_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] ALU_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] ALU_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] ALU_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire ALU_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] ALU_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire ALU_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output ALU_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] ALU_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] ALU_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output ALU_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] ALU_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] ALU_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] ALU_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] ALU_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ALU_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] ALU_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56689,7 +56689,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] ALU_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56698,24 +56698,24 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_cr_out;
   reg [2:0] ALU_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_cry_in;
   reg [1:0] ALU_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_cry_out;
   reg ALU_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec19_ALU_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56726,7 +56726,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec19_ALU_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56735,15 +56735,15 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec19_ALU_dec19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec19_ALU_dec19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec19_ALU_dec19_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -56761,7 +56761,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec19_ALU_dec19_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -56771,7 +56771,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec19_ALU_dec19_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -56789,7 +56789,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec19_ALU_dec19_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -56868,13 +56868,13 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec19_ALU_dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec19_ALU_dec19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec19_ALU_dec19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec19_ALU_dec19_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -56882,23 +56882,23 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec19_ALU_dec19_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec19_ALU_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec19_ALU_dec19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec19_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_ALU_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56909,7 +56909,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_ALU_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -56918,15 +56918,15 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_ALU_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_ALU_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_ALU_dec31_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -56944,7 +56944,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] ALU_dec31_ALU_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -56954,7 +56954,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] ALU_dec31_ALU_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -56972,7 +56972,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_ALU_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -57051,13 +57051,13 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] ALU_dec31_ALU_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_ALU_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_ALU_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_ALU_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -57065,17 +57065,17 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] ALU_dec31_ALU_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] ALU_dec31_ALU_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire ALU_dec31_ALU_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] ALU_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -57093,7 +57093,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] ALU_function_unit;
   reg [14:0] ALU_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -57104,7 +57104,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] ALU_in1_sel;
   reg [2:0] ALU_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -57123,7 +57123,7 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_in2_sel;
   reg [3:0] ALU_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -57203,16 +57203,16 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] ALU_internal_op;
   reg [6:0] ALU_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_inv_a;
   reg ALU_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_inv_out;
   reg ALU_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_is_32b;
   reg ALU_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -57221,626 +57221,626 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] ALU_ldst_len;
   reg [3:0] ALU_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] ALU_rc_sel;
   reg [1:0] ALU_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output ALU_sgn;
   reg ALU_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] ALU_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   ALU_dec19 ALU_dec19 (
     .ALU_dec19_SV_Ptype(ALU_dec19_ALU_dec19_SV_Ptype),
     .ALU_dec19_cr_in(ALU_dec19_ALU_dec19_cr_in),
@@ -57880,33 +57880,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_ldst_len = ALU_dec19_ALU_dec19_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_ldst_len = ALU_dec31_ALU_dec31_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_ldst_len = 4'h0;
     endcase
@@ -57914,33 +57914,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_rc_sel = ALU_dec19_ALU_dec19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_rc_sel = ALU_dec31_ALU_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_rc_sel = 2'h0;
     endcase
@@ -57948,33 +57948,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_cry_in = ALU_dec19_ALU_dec19_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_cry_in = ALU_dec31_ALU_dec31_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_cry_in = 2'h1;
     endcase
@@ -57982,33 +57982,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_inv_a = ALU_dec19_ALU_dec19_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_inv_a = ALU_dec31_ALU_dec31_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_inv_a = 1'h1;
     endcase
@@ -58016,33 +58016,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_inv_out = ALU_dec19_ALU_dec19_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_inv_out = ALU_dec31_ALU_dec31_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_inv_out = 1'h0;
     endcase
@@ -58050,33 +58050,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_cry_out = ALU_dec19_ALU_dec19_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_cry_out = ALU_dec31_ALU_dec31_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_cry_out = 1'h1;
     endcase
@@ -58084,33 +58084,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_is_32b = ALU_dec19_ALU_dec19_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_is_32b = ALU_dec31_ALU_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_is_32b = 1'h0;
     endcase
@@ -58118,33 +58118,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_sgn = ALU_dec19_ALU_dec19_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_sgn = ALU_dec31_ALU_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_sgn = 1'h0;
     endcase
@@ -58152,33 +58152,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_function_unit = ALU_dec19_ALU_dec19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_function_unit = ALU_dec31_ALU_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_function_unit = 15'h0002;
     endcase
@@ -58186,33 +58186,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_internal_op = ALU_dec19_ALU_dec19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_internal_op = ALU_dec31_ALU_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_internal_op = 7'h02;
     endcase
@@ -58220,33 +58220,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_SV_Ptype = ALU_dec19_ALU_dec19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_SV_Ptype = ALU_dec31_ALU_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_SV_Ptype = 2'h2;
     endcase
@@ -58254,33 +58254,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_in1_sel = ALU_dec19_ALU_dec19_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_in1_sel = ALU_dec31_ALU_dec31_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_in1_sel = 3'h1;
     endcase
@@ -58288,33 +58288,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_in2_sel = ALU_dec19_ALU_dec19_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_in2_sel = ALU_dec31_ALU_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_in2_sel = 4'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_in2_sel = 4'h3;
     endcase
@@ -58322,33 +58322,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_cr_in = ALU_dec19_ALU_dec19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_cr_in = ALU_dec31_ALU_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_cr_in = 3'h0;
     endcase
@@ -58356,33 +58356,33 @@ module dec(bigendian, opcode_in, ALU_rc_sel, ALU_internal_op, ALU_SPR, ALU_funct
   always @* begin
     if (\initial ) begin end
     ALU_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ALU_cr_out = ALU_dec19_ALU_dec19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ALU_cr_out = ALU_dec31_ALU_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ALU_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ALU_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ALU_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ALU_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ALU_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ALU_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ALU_cr_out = 3'h0;
     endcase
@@ -58735,121 +58735,121 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_function_unit, CR_cr_out, CR_Rc, CR_OE, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire CR_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] CR_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] CR_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] CR_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] CR_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] CR_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] CR_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] CR_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire CR_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] CR_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire CR_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output CR_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] CR_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output CR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] CR_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] CR_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] CR_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] CR_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] CR_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -58860,7 +58860,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] CR_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -58869,14 +58869,14 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] CR_cr_out;
   reg [2:0] CR_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec19_CR_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -58887,7 +58887,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec19_CR_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -58896,7 +58896,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec19_CR_dec19_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -58914,7 +58914,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec19_CR_dec19_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -58993,21 +58993,21 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec19_CR_dec19_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec19_CR_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec19_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_CR_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -59018,7 +59018,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_CR_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -59027,7 +59027,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] CR_dec31_CR_dec31_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -59045,7 +59045,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] CR_dec31_CR_dec31_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -59124,15 +59124,15 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] CR_dec31_CR_dec31_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] CR_dec31_CR_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] CR_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -59150,7 +59150,7 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] CR_function_unit;
   reg [14:0] CR_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -59230,593 +59230,593 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] CR_internal_op;
   reg [6:0] CR_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] CR_rc_sel;
   reg [1:0] CR_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] CR_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   CR_dec19 CR_dec19 (
     .CR_dec19_SV_Ptype(CR_dec19_CR_dec19_SV_Ptype),
     .CR_dec19_cr_in(CR_dec19_CR_dec19_cr_in),
@@ -59838,12 +59838,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_function_unit = CR_dec19_CR_dec19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_function_unit = CR_dec31_CR_dec31_function_unit;
     endcase
@@ -59851,12 +59851,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_internal_op = CR_dec19_CR_dec19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_internal_op = CR_dec31_CR_dec31_internal_op;
     endcase
@@ -59864,12 +59864,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_SV_Ptype = CR_dec19_CR_dec19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_SV_Ptype = CR_dec31_CR_dec31_SV_Ptype;
     endcase
@@ -59877,12 +59877,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_cr_in = CR_dec19_CR_dec19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_cr_in = CR_dec31_CR_dec31_cr_in;
     endcase
@@ -59890,12 +59890,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_cr_out = CR_dec19_CR_dec19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_cr_out = CR_dec31_CR_dec31_cr_out;
     endcase
@@ -59903,12 +59903,12 @@ module \dec$138 (bigendian, opcode_in, CR_rc_sel, CR_internal_op, CR_SPR, CR_fun
   always @* begin
     if (\initial ) begin end
     CR_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           CR_rc_sel = CR_dec19_CR_dec19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           CR_rc_sel = CR_dec31_CR_dec31_rc_sel;
     endcase
@@ -60261,111 +60261,111 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH_SPR, BRANCH_function_unit, BRANCH_in2_sel, BRANCH_cr_out, BRANCH_is_32b, BRANCH_lk, BRANCH_LK, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_sh, BRANCH_LI, BRANCH_Rc, BRANCH_OE, BRANCH_BD, BRANCH_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire BRANCH_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] BRANCH_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] BRANCH_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] BRANCH_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] BRANCH_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] BRANCH_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] BRANCH_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] BRANCH_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire BRANCH_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] BRANCH_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output BRANCH_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output BRANCH_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] BRANCH_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output BRANCH_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BRANCH_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] BRANCH_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] BRANCH_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] BRANCH_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] BRANCH_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] BRANCH_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -60376,7 +60376,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] BRANCH_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -60385,14 +60385,14 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] BRANCH_cr_out;
   reg [2:0] BRANCH_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] BRANCH_dec19_BRANCH_dec19_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -60403,7 +60403,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] BRANCH_dec19_BRANCH_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -60412,7 +60412,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] BRANCH_dec19_BRANCH_dec19_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -60430,7 +60430,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] BRANCH_dec19_BRANCH_dec19_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -60448,7 +60448,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] BRANCH_dec19_BRANCH_dec19_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -60527,19 +60527,19 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] BRANCH_dec19_BRANCH_dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire BRANCH_dec19_BRANCH_dec19_is_32b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire BRANCH_dec19_BRANCH_dec19_lk;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] BRANCH_dec19_BRANCH_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] BRANCH_dec19_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -60557,7 +60557,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] BRANCH_function_unit;
   reg [14:0] BRANCH_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -60576,7 +60576,7 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] BRANCH_in2_sel;
   reg [3:0] BRANCH_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -60656,609 +60656,609 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] BRANCH_internal_op;
   reg [6:0] BRANCH_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output BRANCH_is_32b;
   reg BRANCH_is_32b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output BRANCH_lk;
   reg BRANCH_lk;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] BRANCH_rc_sel;
   reg [1:0] BRANCH_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] BRANCH_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   BRANCH_dec19 BRANCH_dec19 (
     .BRANCH_dec19_SV_Ptype(BRANCH_dec19_BRANCH_dec19_SV_Ptype),
     .BRANCH_dec19_cr_in(BRANCH_dec19_BRANCH_dec19_cr_in),
@@ -61274,15 +61274,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_lk = BRANCH_dec19_BRANCH_dec19_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_lk = 1'h1;
     endcase
@@ -61290,15 +61290,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_function_unit = BRANCH_dec19_BRANCH_dec19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_function_unit = 15'h0020;
     endcase
@@ -61306,15 +61306,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_internal_op = BRANCH_dec19_BRANCH_dec19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_internal_op = 7'h06;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_internal_op = 7'h07;
     endcase
@@ -61322,15 +61322,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_SV_Ptype = BRANCH_dec19_BRANCH_dec19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_SV_Ptype = 2'h0;
     endcase
@@ -61338,15 +61338,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_in2_sel = BRANCH_dec19_BRANCH_dec19_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_in2_sel = 4'h6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_in2_sel = 4'h7;
     endcase
@@ -61354,15 +61354,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_cr_in = BRANCH_dec19_BRANCH_dec19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_cr_in = 3'h2;
     endcase
@@ -61370,15 +61370,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_cr_out = BRANCH_dec19_BRANCH_dec19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_cr_out = 3'h0;
     endcase
@@ -61386,15 +61386,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_rc_sel = BRANCH_dec19_BRANCH_dec19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_rc_sel = 2'h0;
     endcase
@@ -61402,15 +61402,15 @@ module \dec$141 (bigendian, opcode_in, BRANCH_rc_sel, BRANCH_internal_op, BRANCH
   always @* begin
     if (\initial ) begin end
     BRANCH_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           BRANCH_is_32b = BRANCH_dec19_BRANCH_dec19_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           BRANCH_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           BRANCH_is_32b = 1'h0;
     endcase
@@ -61762,211 +61762,211 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGICAL_SPR, LOGICAL_function_unit, LOGICAL_in1_sel, LOGICAL_in2_sel, LOGICAL_cr_out, LOGICAL_ldst_len, LOGICAL_inv_a, LOGICAL_inv_out, LOGICAL_cry_in, LOGICAL_cry_out, LOGICAL_is_32b, LOGICAL_sgn, LOGICAL_RA, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGICAL_sh, LOGICAL_LI, LOGICAL_Rc, LOGICAL_OE, LOGICAL_BD, LOGICAL_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LOGICAL_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] LOGICAL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] LOGICAL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] LOGICAL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] LOGICAL_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] LOGICAL_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] LOGICAL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] LOGICAL_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LOGICAL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] LOGICAL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LOGICAL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output LOGICAL_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] LOGICAL_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] LOGICAL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output LOGICAL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] LOGICAL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] LOGICAL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] LOGICAL_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] LOGICAL_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LOGICAL_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] LOGICAL_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -61977,7 +61977,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] LOGICAL_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -61986,24 +61986,24 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_cr_out;
   reg [2:0] LOGICAL_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_cry_in;
   reg [1:0] LOGICAL_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_cry_out;
   reg LOGICAL_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_LOGICAL_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -62014,7 +62014,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_LOGICAL_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -62023,15 +62023,15 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_LOGICAL_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_LOGICAL_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_LOGICAL_dec31_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -62049,7 +62049,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LOGICAL_dec31_LOGICAL_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -62059,7 +62059,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LOGICAL_dec31_LOGICAL_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -62077,7 +62077,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_LOGICAL_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -62156,13 +62156,13 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LOGICAL_dec31_LOGICAL_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_LOGICAL_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_LOGICAL_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_LOGICAL_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -62170,17 +62170,17 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LOGICAL_dec31_LOGICAL_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LOGICAL_dec31_LOGICAL_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LOGICAL_dec31_LOGICAL_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LOGICAL_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -62198,7 +62198,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LOGICAL_function_unit;
   reg [14:0] LOGICAL_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -62209,7 +62209,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LOGICAL_in1_sel;
   reg [2:0] LOGICAL_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -62228,7 +62228,7 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_in2_sel;
   reg [3:0] LOGICAL_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -62308,16 +62308,16 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LOGICAL_internal_op;
   reg [6:0] LOGICAL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_inv_a;
   reg LOGICAL_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_inv_out;
   reg LOGICAL_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_is_32b;
   reg LOGICAL_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -62326,506 +62326,506 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LOGICAL_ldst_len;
   reg [3:0] LOGICAL_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LOGICAL_rc_sel;
   reg [1:0] LOGICAL_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LOGICAL_sgn;
   reg LOGICAL_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] LOGICAL_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   LOGICAL_dec31 LOGICAL_dec31 (
     .LOGICAL_dec31_SV_Ptype(LOGICAL_dec31_LOGICAL_dec31_SV_Ptype),
     .LOGICAL_dec31_cr_in(LOGICAL_dec31_LOGICAL_dec31_cr_in),
@@ -62847,27 +62847,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_rc_sel = LOGICAL_dec31_LOGICAL_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_rc_sel = 2'h0;
     endcase
@@ -62875,27 +62875,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_cry_in = LOGICAL_dec31_LOGICAL_dec31_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_cry_in = 2'h0;
     endcase
@@ -62903,27 +62903,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_inv_a = LOGICAL_dec31_LOGICAL_dec31_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_inv_a = 1'h0;
     endcase
@@ -62931,27 +62931,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_inv_out = LOGICAL_dec31_LOGICAL_dec31_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_inv_out = 1'h0;
     endcase
@@ -62959,27 +62959,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_cry_out = LOGICAL_dec31_LOGICAL_dec31_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_cry_out = 1'h0;
     endcase
@@ -62987,27 +62987,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_is_32b = LOGICAL_dec31_LOGICAL_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_is_32b = 1'h0;
     endcase
@@ -63015,27 +63015,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_sgn = LOGICAL_dec31_LOGICAL_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_sgn = 1'h0;
     endcase
@@ -63043,27 +63043,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_function_unit = LOGICAL_dec31_LOGICAL_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_function_unit = 15'h0010;
     endcase
@@ -63071,27 +63071,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_internal_op = LOGICAL_dec31_LOGICAL_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_internal_op = 7'h43;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_internal_op = 7'h43;
     endcase
@@ -63099,27 +63099,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_SV_Ptype = LOGICAL_dec31_LOGICAL_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_SV_Ptype = 2'h2;
     endcase
@@ -63127,27 +63127,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_in1_sel = LOGICAL_dec31_LOGICAL_dec31_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_in1_sel = 3'h4;
     endcase
@@ -63155,27 +63155,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_in2_sel = LOGICAL_dec31_LOGICAL_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_in2_sel = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_in2_sel = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_in2_sel = 4'h4;
     endcase
@@ -63183,27 +63183,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_cr_in = LOGICAL_dec31_LOGICAL_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_cr_in = 3'h0;
     endcase
@@ -63211,27 +63211,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_cr_out = LOGICAL_dec31_LOGICAL_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_cr_out = 3'h0;
     endcase
@@ -63239,27 +63239,27 @@ module \dec$145 (bigendian, opcode_in, LOGICAL_rc_sel, LOGICAL_internal_op, LOGI
   always @* begin
     if (\initial ) begin end
     LOGICAL_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LOGICAL_ldst_len = LOGICAL_dec31_LOGICAL_dec31_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           LOGICAL_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           LOGICAL_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           LOGICAL_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           LOGICAL_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           LOGICAL_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           LOGICAL_ldst_len = 4'h0;
     endcase
@@ -63611,267 +63611,267 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR_function_unit, SPR_cr_out, SPR_is_32b, SPR_Rc, SPR_OE, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SPR_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] SPR_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] SPR_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] SPR_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] SPR_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] SPR_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] SPR_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] SPR_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SPR_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] SPR_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SPR_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output SPR_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] SPR_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output SPR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] SPR_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] SPR_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] SPR_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SPR_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] SPR_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -63882,7 +63882,7 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] SPR_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -63891,14 +63891,14 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SPR_cr_out;
   reg [2:0] SPR_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SPR_dec31_SPR_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -63909,7 +63909,7 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SPR_dec31_SPR_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -63918,7 +63918,7 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SPR_dec31_SPR_dec31_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -63936,7 +63936,7 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SPR_dec31_SPR_dec31_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -64015,17 +64015,17 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SPR_dec31_SPR_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SPR_dec31_SPR_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SPR_dec31_SPR_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SPR_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -64043,7 +64043,7 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SPR_function_unit;
   reg [14:0] SPR_function_unit;
   (* enum_base_type = "MicrOp" *)
@@ -64123,450 +64123,450 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SPR_internal_op;
   reg [6:0] SPR_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SPR_is_32b;
   reg SPR_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SPR_rc_sel;
   reg [1:0] SPR_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] SPR_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   SPR_dec31 SPR_dec31 (
     .SPR_dec31_SV_Ptype(SPR_dec31_SPR_dec31_SV_Ptype),
     .SPR_dec31_cr_in(SPR_dec31_SPR_dec31_cr_in),
@@ -64580,9 +64580,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_function_unit = SPR_dec31_SPR_dec31_function_unit;
     endcase
@@ -64590,9 +64590,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_internal_op = SPR_dec31_SPR_dec31_internal_op;
     endcase
@@ -64600,9 +64600,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_SV_Ptype = SPR_dec31_SPR_dec31_SV_Ptype;
     endcase
@@ -64610,9 +64610,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_cr_in = SPR_dec31_SPR_dec31_cr_in;
     endcase
@@ -64620,9 +64620,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_cr_out = SPR_dec31_SPR_dec31_cr_out;
     endcase
@@ -64630,9 +64630,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_rc_sel = SPR_dec31_SPR_dec31_rc_sel;
     endcase
@@ -64640,9 +64640,9 @@ module \dec$150 (bigendian, opcode_in, SPR_rc_sel, SPR_internal_op, SPR_SPR, SPR
   always @* begin
     if (\initial ) begin end
     SPR_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SPR_is_32b = SPR_dec31_SPR_dec31_is_32b;
     endcase
@@ -64994,121 +64994,121 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV_function_unit, DIV_in1_sel, DIV_in2_sel, DIV_cr_out, DIV_ldst_len, DIV_inv_a, DIV_inv_out, DIV_cry_in, DIV_cry_out, DIV_is_32b, DIV_sgn, DIV_RA, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, DIV_Rc, DIV_OE, DIV_BD, DIV_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire DIV_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] DIV_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] DIV_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] DIV_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] DIV_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] DIV_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] DIV_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] DIV_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire DIV_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] DIV_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire DIV_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output DIV_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] DIV_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] DIV_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output DIV_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] DIV_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] DIV_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] DIV_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] DIV_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] DIV_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] DIV_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -65119,7 +65119,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] DIV_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -65128,24 +65128,24 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_cr_out;
   reg [2:0] DIV_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_cry_in;
   reg [1:0] DIV_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_cry_out;
   reg DIV_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_DIV_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -65156,7 +65156,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_DIV_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -65165,15 +65165,15 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_DIV_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_DIV_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_DIV_dec31_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -65191,7 +65191,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] DIV_dec31_DIV_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -65201,7 +65201,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] DIV_dec31_DIV_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -65219,7 +65219,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_DIV_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -65298,13 +65298,13 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] DIV_dec31_DIV_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_DIV_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_DIV_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_DIV_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -65312,17 +65312,17 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] DIV_dec31_DIV_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] DIV_dec31_DIV_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire DIV_dec31_DIV_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] DIV_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -65340,7 +65340,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] DIV_function_unit;
   reg [14:0] DIV_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -65351,7 +65351,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] DIV_in1_sel;
   reg [2:0] DIV_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -65370,7 +65370,7 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_in2_sel;
   reg [3:0] DIV_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -65450,16 +65450,16 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] DIV_internal_op;
   reg [6:0] DIV_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_inv_a;
   reg DIV_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_inv_out;
   reg DIV_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_is_32b;
   reg DIV_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -65468,596 +65468,596 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] DIV_ldst_len;
   reg [3:0] DIV_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] DIV_rc_sel;
   reg [1:0] DIV_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output DIV_sgn;
   reg DIV_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] DIV_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   DIV_dec31 DIV_dec31 (
     .DIV_dec31_SV_Ptype(DIV_dec31_DIV_dec31_SV_Ptype),
     .DIV_dec31_cr_in(DIV_dec31_DIV_dec31_cr_in),
@@ -66079,9 +66079,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_rc_sel = DIV_dec31_DIV_dec31_rc_sel;
     endcase
@@ -66089,9 +66089,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_cry_in = DIV_dec31_DIV_dec31_cry_in;
     endcase
@@ -66099,9 +66099,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_inv_a = DIV_dec31_DIV_dec31_inv_a;
     endcase
@@ -66109,9 +66109,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_inv_out = DIV_dec31_DIV_dec31_inv_out;
     endcase
@@ -66119,9 +66119,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_cry_out = DIV_dec31_DIV_dec31_cry_out;
     endcase
@@ -66129,9 +66129,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_is_32b = DIV_dec31_DIV_dec31_is_32b;
     endcase
@@ -66139,9 +66139,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_sgn = DIV_dec31_DIV_dec31_sgn;
     endcase
@@ -66149,9 +66149,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_function_unit = DIV_dec31_DIV_dec31_function_unit;
     endcase
@@ -66159,9 +66159,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_internal_op = DIV_dec31_DIV_dec31_internal_op;
     endcase
@@ -66169,9 +66169,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_SV_Ptype = DIV_dec31_DIV_dec31_SV_Ptype;
     endcase
@@ -66179,9 +66179,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_in1_sel = DIV_dec31_DIV_dec31_in1_sel;
     endcase
@@ -66189,9 +66189,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_in2_sel = DIV_dec31_DIV_dec31_in2_sel;
     endcase
@@ -66199,9 +66199,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_cr_in = DIV_dec31_DIV_dec31_cr_in;
     endcase
@@ -66209,9 +66209,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_cr_out = DIV_dec31_DIV_dec31_cr_out;
     endcase
@@ -66219,9 +66219,9 @@ module \dec$153 (bigendian, opcode_in, DIV_rc_sel, DIV_internal_op, DIV_SPR, DIV
   always @* begin
     if (\initial ) begin end
     DIV_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           DIV_ldst_len = DIV_dec31_DIV_dec31_ldst_len;
     endcase
@@ -66573,247 +66573,247 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL_function_unit, MUL_in2_sel, MUL_cr_out, MUL_is_32b, MUL_sgn, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, MUL_Rc, MUL_OE, MUL_BD, MUL_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire MUL_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] MUL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] MUL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] MUL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] MUL_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] MUL_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] MUL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] MUL_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire MUL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] MUL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire MUL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output MUL_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] MUL_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output MUL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] MUL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] MUL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] MUL_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] MUL_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MUL_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] MUL_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -66824,7 +66824,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] MUL_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -66833,14 +66833,14 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] MUL_cr_out;
   reg [2:0] MUL_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_MUL_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -66851,7 +66851,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_MUL_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -66860,7 +66860,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] MUL_dec31_MUL_dec31_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -66878,7 +66878,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] MUL_dec31_MUL_dec31_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -66896,7 +66896,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] MUL_dec31_MUL_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -66975,19 +66975,19 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] MUL_dec31_MUL_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_MUL_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] MUL_dec31_MUL_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire MUL_dec31_MUL_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] MUL_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -67005,7 +67005,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] MUL_function_unit;
   reg [14:0] MUL_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -67024,7 +67024,7 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] MUL_in2_sel;
   reg [3:0] MUL_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -67104,473 +67104,473 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] MUL_internal_op;
   reg [6:0] MUL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_is_32b;
   reg MUL_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] MUL_rc_sel;
   reg [1:0] MUL_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output MUL_sgn;
   reg MUL_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] MUL_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   MUL_dec31 MUL_dec31 (
     .MUL_dec31_SV_Ptype(MUL_dec31_MUL_dec31_SV_Ptype),
     .MUL_dec31_cr_in(MUL_dec31_MUL_dec31_cr_in),
@@ -67586,12 +67586,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_sgn = MUL_dec31_MUL_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_sgn = 1'h1;
     endcase
@@ -67599,12 +67599,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_function_unit = MUL_dec31_MUL_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_function_unit = 15'h0100;
     endcase
@@ -67612,12 +67612,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_internal_op = MUL_dec31_MUL_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_internal_op = 7'h32;
     endcase
@@ -67625,12 +67625,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_SV_Ptype = MUL_dec31_MUL_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_SV_Ptype = 2'h2;
     endcase
@@ -67638,12 +67638,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_in2_sel = MUL_dec31_MUL_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_in2_sel = 4'h3;
     endcase
@@ -67651,12 +67651,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_cr_in = MUL_dec31_MUL_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_cr_in = 3'h0;
     endcase
@@ -67664,12 +67664,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_cr_out = MUL_dec31_MUL_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_cr_out = 3'h1;
     endcase
@@ -67677,12 +67677,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_rc_sel = MUL_dec31_MUL_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_rc_sel = 2'h0;
     endcase
@@ -67690,12 +67690,12 @@ module \dec$158 (bigendian, opcode_in, MUL_rc_sel, MUL_internal_op, MUL_SPR, MUL
   always @* begin
     if (\initial ) begin end
     MUL_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           MUL_is_32b = MUL_dec31_MUL_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           MUL_is_32b = 1'h0;
     endcase
@@ -68047,267 +68047,267 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op, SHIFT_ROT_SPR, SHIFT_ROT_function_unit, SHIFT_ROT_in2_sel, SHIFT_ROT_cr_out, SHIFT_ROT_cr_in, SHIFT_ROT_inv_a, SHIFT_ROT_cry_in, SHIFT_ROT_cry_out, SHIFT_ROT_is_32b, SHIFT_ROT_sgn, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32, SHIFT_ROT_sh, SHIFT_ROT_LI, SHIFT_ROT_Rc, SHIFT_ROT_OE, SHIFT_ROT_BD, SHIFT_ROT_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SHIFT_ROT_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] SHIFT_ROT_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] SHIFT_ROT_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] SHIFT_ROT_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] SHIFT_ROT_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] SHIFT_ROT_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] SHIFT_ROT_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] SHIFT_ROT_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SHIFT_ROT_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] SHIFT_ROT_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire SHIFT_ROT_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output SHIFT_ROT_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] SHIFT_ROT_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output SHIFT_ROT_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] SHIFT_ROT_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] SHIFT_ROT_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] SHIFT_ROT_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] SHIFT_ROT_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SHIFT_ROT_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] SHIFT_ROT_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -68318,7 +68318,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_cr_in;
   reg [2:0] SHIFT_ROT_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -68328,24 +68328,24 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] SHIFT_ROT_cr_out;
   reg [2:0] SHIFT_ROT_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_cry_in;
   reg [1:0] SHIFT_ROT_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_cry_out;
   reg SHIFT_ROT_cry_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -68356,7 +68356,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -68365,15 +68365,15 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -68391,7 +68391,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -68409,7 +68409,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -68488,27 +68488,27 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec30_SHIFT_ROT_dec30_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec30_SHIFT_ROT_dec30_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SHIFT_ROT_dec30_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_SV_Ptype;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -68519,7 +68519,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -68528,15 +68528,15 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -68554,7 +68554,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -68572,7 +68572,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -68651,21 +68651,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] SHIFT_ROT_dec31_SHIFT_ROT_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire SHIFT_ROT_dec31_SHIFT_ROT_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] SHIFT_ROT_dec31_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -68683,7 +68683,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] SHIFT_ROT_function_unit;
   reg [14:0] SHIFT_ROT_function_unit;
   (* enum_base_type = "In2Sel" *)
@@ -68702,7 +68702,7 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] SHIFT_ROT_in2_sel;
   reg [3:0] SHIFT_ROT_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -68782,456 +68782,456 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] SHIFT_ROT_internal_op;
   reg [6:0] SHIFT_ROT_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_inv_a;
   reg SHIFT_ROT_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_is_32b;
   reg SHIFT_ROT_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] SHIFT_ROT_rc_sel;
   reg [1:0] SHIFT_ROT_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output SHIFT_ROT_sgn;
   reg SHIFT_ROT_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] SHIFT_ROT_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   SHIFT_ROT_dec30 SHIFT_ROT_dec30 (
     .SHIFT_ROT_dec30_SV_Ptype(SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype),
     .SHIFT_ROT_dec30_cr_in(SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in),
@@ -69265,21 +69265,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_cry_in = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_cry_in = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_cry_in = 2'h0;
     endcase
@@ -69287,21 +69287,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_inv_a = SHIFT_ROT_dec30_SHIFT_ROT_dec30_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_inv_a = SHIFT_ROT_dec31_SHIFT_ROT_dec31_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_inv_a = 1'h0;
     endcase
@@ -69309,21 +69309,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_cry_out = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_cry_out = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_cry_out = 1'h0;
     endcase
@@ -69331,21 +69331,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_is_32b = SHIFT_ROT_dec30_SHIFT_ROT_dec30_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_is_32b = SHIFT_ROT_dec31_SHIFT_ROT_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_is_32b = 1'h1;
     endcase
@@ -69353,21 +69353,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_sgn = SHIFT_ROT_dec30_SHIFT_ROT_dec30_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_sgn = SHIFT_ROT_dec31_SHIFT_ROT_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_sgn = 1'h0;
     endcase
@@ -69375,21 +69375,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_function_unit = SHIFT_ROT_dec30_SHIFT_ROT_dec30_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_function_unit = SHIFT_ROT_dec31_SHIFT_ROT_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_function_unit = 15'h0008;
     endcase
@@ -69397,21 +69397,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_internal_op = SHIFT_ROT_dec30_SHIFT_ROT_dec30_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_internal_op = SHIFT_ROT_dec31_SHIFT_ROT_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_internal_op = 7'h38;
     endcase
@@ -69419,21 +69419,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_SV_Ptype = SHIFT_ROT_dec30_SHIFT_ROT_dec30_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_SV_Ptype = SHIFT_ROT_dec31_SHIFT_ROT_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_SV_Ptype = 2'h1;
     endcase
@@ -69441,21 +69441,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_in2_sel = SHIFT_ROT_dec30_SHIFT_ROT_dec30_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_in2_sel = SHIFT_ROT_dec31_SHIFT_ROT_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_in2_sel = 4'h1;
     endcase
@@ -69463,21 +69463,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_cr_in = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_cr_in = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_cr_in = 3'h0;
     endcase
@@ -69485,21 +69485,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_cr_out = SHIFT_ROT_dec30_SHIFT_ROT_dec30_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_cr_out = SHIFT_ROT_dec31_SHIFT_ROT_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_cr_out = 3'h1;
     endcase
@@ -69507,21 +69507,21 @@ module \dec$162 (bigendian, opcode_in, SHIFT_ROT_rc_sel, SHIFT_ROT_internal_op,
   always @* begin
     if (\initial ) begin end
     SHIFT_ROT_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SHIFT_ROT_rc_sel = SHIFT_ROT_dec30_SHIFT_ROT_dec30_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SHIFT_ROT_rc_sel = SHIFT_ROT_dec31_SHIFT_ROT_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SHIFT_ROT_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SHIFT_ROT_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SHIFT_ROT_rc_sel = 2'h2;
     endcase
@@ -69874,213 +69874,213 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR, LDST_function_unit, LDST_in1_sel, LDST_in2_sel, LDST_cr_out, LDST_ldst_len, LDST_is_32b, LDST_sgn, LDST_br, LDST_sgn_ext, LDST_upd, LDST_RA, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_LI, LDST_Rc, LDST_OE, LDST_BD, LDST_DS, raw_opcode_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LDST_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] LDST_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] LDST_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] LDST_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] LDST_CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] LDST_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [13:0] LDST_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] LDST_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LDST_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [23:0] LDST_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire LDST_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output LDST_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] LDST_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] LDST_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output LDST_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] LDST_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] LDST_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] LDST_SPR;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] LDST_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] LDST_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [15:0] LDST_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_br;
   reg LDST_br;
   (* enum_base_type = "CRInSel" *)
@@ -70092,7 +70092,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] LDST_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70101,16 +70101,16 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_cr_out;
   reg [2:0] LDST_cr_out;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_LDST_dec31_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_LDST_dec31_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70121,7 +70121,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_LDST_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70130,7 +70130,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_LDST_dec31_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -70148,7 +70148,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec31_LDST_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -70158,7 +70158,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec31_LDST_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -70176,7 +70176,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_LDST_dec31_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -70255,9 +70255,9 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec31_LDST_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_LDST_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -70265,34 +70265,34 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec31_LDST_dec31_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_LDST_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_LDST_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec31_LDST_dec31_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec31_LDST_dec31_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec31_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec58_LDST_dec58_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec58_LDST_dec58_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70303,7 +70303,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec58_LDST_dec58_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70312,7 +70312,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec58_LDST_dec58_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -70330,7 +70330,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec58_LDST_dec58_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -70340,7 +70340,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec58_LDST_dec58_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -70358,7 +70358,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec58_LDST_dec58_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -70437,9 +70437,9 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec58_LDST_dec58_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec58_LDST_dec58_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -70447,34 +70447,34 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec58_LDST_dec58_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec58_LDST_dec58_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec58_LDST_dec58_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec58_LDST_dec58_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec58_LDST_dec58_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec58_opcode_in;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec62_LDST_dec62_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec62_LDST_dec62_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70485,7 +70485,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec62_LDST_dec62_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -70494,7 +70494,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec62_LDST_dec62_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -70512,7 +70512,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] LDST_dec62_LDST_dec62_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -70522,7 +70522,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] LDST_dec62_LDST_dec62_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -70540,7 +70540,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec62_LDST_dec62_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -70619,9 +70619,9 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] LDST_dec62_LDST_dec62_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec62_LDST_dec62_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -70629,26 +70629,26 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] LDST_dec62_LDST_dec62_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec62_LDST_dec62_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec62_LDST_dec62_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire LDST_dec62_LDST_dec62_sgn_ext;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] LDST_dec62_LDST_dec62_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] LDST_dec62_opcode_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -70666,7 +70666,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] LDST_function_unit;
   reg [14:0] LDST_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -70677,7 +70677,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] LDST_in1_sel;
   reg [2:0] LDST_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -70696,7 +70696,7 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_in2_sel;
   reg [3:0] LDST_in2_sel;
   (* enum_base_type = "MicrOp" *)
@@ -70776,10 +70776,10 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] LDST_internal_op;
   reg [6:0] LDST_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_is_32b;
   reg LDST_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -70788,517 +70788,517 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] LDST_ldst_len;
   reg [3:0] LDST_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_rc_sel;
   reg [1:0] LDST_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_sgn;
   reg LDST_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output LDST_sgn_ext;
   reg LDST_sgn_ext;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [5:0] LDST_sh;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] LDST_upd;
   reg [1:0] LDST_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$1  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   LDST_dec31 LDST_dec31 (
     .LDST_dec31_SV_Ptype(LDST_dec31_LDST_dec31_SV_Ptype),
     .LDST_dec31_br(LDST_dec31_LDST_dec31_br),
@@ -71353,81 +71353,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_cr_out = LDST_dec31_LDST_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_cr_out = LDST_dec58_LDST_dec58_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_cr_out = LDST_dec62_LDST_dec62_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_cr_out = 3'h0;
     endcase
@@ -71435,81 +71435,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_ldst_len = LDST_dec31_LDST_dec31_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_ldst_len = LDST_dec58_LDST_dec58_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_ldst_len = LDST_dec62_LDST_dec62_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_ldst_len = 4'h4;
     endcase
@@ -71517,81 +71517,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_upd = LDST_dec31_LDST_dec31_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_upd = LDST_dec58_LDST_dec58_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_upd = LDST_dec62_LDST_dec62_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_upd = 2'h1;
     endcase
@@ -71599,81 +71599,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_rc_sel = LDST_dec31_LDST_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_rc_sel = LDST_dec58_LDST_dec58_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_rc_sel = LDST_dec62_LDST_dec62_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_rc_sel = 2'h0;
     endcase
@@ -71681,81 +71681,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_br = LDST_dec31_LDST_dec31_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_br = LDST_dec58_LDST_dec58_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_br = LDST_dec62_LDST_dec62_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_br = 1'h0;
     endcase
@@ -71763,81 +71763,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_sgn_ext = LDST_dec31_LDST_dec31_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_sgn_ext = LDST_dec58_LDST_dec58_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_sgn_ext = LDST_dec62_LDST_dec62_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_sgn_ext = 1'h0;
     endcase
@@ -71845,81 +71845,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_is_32b = LDST_dec31_LDST_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_is_32b = LDST_dec58_LDST_dec58_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_is_32b = LDST_dec62_LDST_dec62_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_is_32b = 1'h0;
     endcase
@@ -71927,81 +71927,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_sgn = LDST_dec31_LDST_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_sgn = LDST_dec58_LDST_dec58_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_sgn = LDST_dec62_LDST_dec62_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_sgn = 1'h0;
     endcase
@@ -72009,81 +72009,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_function_unit = LDST_dec31_LDST_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_function_unit = LDST_dec58_LDST_dec58_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_function_unit = LDST_dec62_LDST_dec62_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_function_unit = 15'h0004;
     endcase
@@ -72091,81 +72091,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_internal_op = LDST_dec31_LDST_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_internal_op = LDST_dec58_LDST_dec58_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_internal_op = LDST_dec62_LDST_dec62_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_internal_op = 7'h26;
     endcase
@@ -72173,81 +72173,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_SV_Ptype = LDST_dec31_LDST_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_SV_Ptype = LDST_dec58_LDST_dec58_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_SV_Ptype = LDST_dec62_LDST_dec62_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_SV_Ptype = 2'h2;
     endcase
@@ -72255,81 +72255,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_in1_sel = LDST_dec31_LDST_dec31_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_in1_sel = LDST_dec58_LDST_dec58_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_in1_sel = LDST_dec62_LDST_dec62_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_in1_sel = 3'h2;
     endcase
@@ -72337,81 +72337,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_in2_sel = LDST_dec31_LDST_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_in2_sel = LDST_dec58_LDST_dec58_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_in2_sel = LDST_dec62_LDST_dec62_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_in2_sel = 4'h3;
     endcase
@@ -72419,81 +72419,81 @@ module \dec$166 (bigendian, opcode_in, LDST_rc_sel, LDST_internal_op, LDST_SPR,
   always @* begin
     if (\initial ) begin end
     LDST_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           LDST_cr_in = LDST_dec31_LDST_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           LDST_cr_in = LDST_dec58_LDST_dec58_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           LDST_cr_in = LDST_dec62_LDST_dec62_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           LDST_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           LDST_cr_in = 3'h0;
     endcase
@@ -72847,700 +72847,700 @@ endmodule
 (* generator = "nMigen" *)
 module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_unit, cr_out, cry_in, is_32b, lk, LK, cr_in, in1_sel, in2_sel, in3_sel, out_sel, asmcode, upd, FRS, FRT, FRA, FRB, FRC, RS, RT, RA, RB, Rc, OE, BB, BA, BT, FXM, BO, BI, BC, X_BF, X_BFA, XL_BT, XL_XO, bigendian);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *)
   wire [31:0] \$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire A_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] A_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [2:0] BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [1:0] BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] B_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] B_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire B_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] CR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQE_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DQE_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [11:0] DQ_DQ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] DQ_PT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DQ_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DQ_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] DQ_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] DQ_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [13:0] DS_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DS_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] DS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] DX_d0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] DX_d0_d1_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] DX_d1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire DX_d2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] D_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_D;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire D_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] D_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [15:0] D_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] EVS_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [7:0] FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_AA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [23:0] I_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire I_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] MB32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_IS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MDS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MDS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XBI_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] MDS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MDS_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] MD_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire MD_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] MD_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_mb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_me;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] MD_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] ME32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_MB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_ME;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire M_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] M_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [4:0] RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] SC_LEV;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] SC_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   output [9:0] SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] SVL_SVi;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] SVL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_ms;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire SVL_vs;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [1:0] SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] TX_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] TX_XBI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] TX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VA_SHB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VA_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] VA_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VC_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VC_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VC_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire VX_PS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_SIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] VX_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] VX_UIM_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] VX_UIM_3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] VX_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] VX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [10:0] VX_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFL_FLM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFL_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XFL_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_BHRBE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_DUI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_DUIS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XFX_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XFX_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] XFX_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XL_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XL_BH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XL_BO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   output [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [14:0] XL_OC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XL_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   output [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XO_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XO_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XO_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XS_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XS_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XS_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XS_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX2_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX2_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX2_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX2_UIM_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX2_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] XX2_dc_dm_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX2_dm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX2_dx;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] XX3_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_DM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX3_SHW;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX3_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX3_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX3_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] XX3_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] XX3_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] XX3_XO_2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_AX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_AX_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_BX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_BX_B;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_CX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_CX_C;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] XX4_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire XX4_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] XX4_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] XX4_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_A;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   output [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   output [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_CT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [6:0] X_DCMX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_DRM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_E;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_EO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_EO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_EX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_E_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] X_IH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_IMM8;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_L1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_L3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_MO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_NB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_PRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RIC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_RM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_RO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RSp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_RTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_R_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_SP;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_SR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_SX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_SX_S;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_TBR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_TO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_TX;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] X_TX_T;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [3:0] X_U;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_UIM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] X_VRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire X_W;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] X_WC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] X_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] X_XO_1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] Z22_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DCM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_DGM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z22_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z22_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] Z22_SH;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [8:0] Z22_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRAp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRBp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_FRTp;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_R;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [1:0] Z23_RMC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire Z23_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] Z23_TE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [7:0] Z23_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [5:0] all_PO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] asmcode;
   reg [7:0] asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73551,7 +73551,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] cr_in;
   reg [2:0] cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -73561,33 +73561,33 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] cr_out;
   reg [2:0] cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] cry_in;
   reg [1:0] cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg cry_out;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec19_dec19_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec19_dec19_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec19_dec19_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73598,7 +73598,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73607,15 +73607,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec19_dec19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -73648,7 +73648,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec19_dec19_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -73666,7 +73666,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec19_dec19_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -73676,7 +73676,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -73694,7 +73694,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec19_dec19_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -73702,7 +73702,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -73781,13 +73781,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec19_dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -73795,9 +73795,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec19_dec19_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73806,21 +73806,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec19_dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec19_dec19_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73829,7 +73829,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73838,7 +73838,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73847,7 +73847,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73856,7 +73856,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73865,7 +73865,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73874,7 +73874,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -73883,32 +73883,32 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec19_dec19_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec19_dec19_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec19_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec22_dec22_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec22_dec22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec22_dec22_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73919,7 +73919,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -73928,15 +73928,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec22_dec22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -73969,7 +73969,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec22_dec22_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -73987,7 +73987,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec22_dec22_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -73997,7 +73997,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -74015,7 +74015,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec22_dec22_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74023,7 +74023,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -74102,13 +74102,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec22_dec22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -74116,9 +74116,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec22_dec22_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74127,21 +74127,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec22_dec22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec22_dec22_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74150,7 +74150,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74159,7 +74159,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74168,7 +74168,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74177,7 +74177,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74186,7 +74186,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74195,7 +74195,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74204,32 +74204,32 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec22_dec22_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec22_dec22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec22_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec30_dec30_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec30_dec30_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec30_dec30_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74240,7 +74240,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74249,15 +74249,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec30_dec30_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -74290,7 +74290,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec30_dec30_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -74308,7 +74308,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec30_dec30_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74318,7 +74318,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -74336,7 +74336,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec30_dec30_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74344,7 +74344,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -74423,13 +74423,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec30_dec30_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -74437,9 +74437,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec30_dec30_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74448,21 +74448,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec30_dec30_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec30_dec30_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74471,7 +74471,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74480,7 +74480,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74489,7 +74489,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74498,7 +74498,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74507,7 +74507,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74516,7 +74516,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74525,32 +74525,32 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec30_dec30_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec30_dec30_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec30_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec31_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec31_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec31_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74561,7 +74561,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74570,15 +74570,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -74611,7 +74611,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec31_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -74629,7 +74629,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74639,7 +74639,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -74657,7 +74657,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec31_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74665,7 +74665,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -74744,13 +74744,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -74758,9 +74758,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec31_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74769,21 +74769,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec31_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74792,7 +74792,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74801,7 +74801,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74810,7 +74810,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74819,7 +74819,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74828,7 +74828,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74837,7 +74837,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -74846,32 +74846,32 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec31_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec31_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec58_dec58_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec58_dec58_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec58_dec58_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74882,7 +74882,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -74891,15 +74891,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec58_dec58_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -74932,7 +74932,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec58_dec58_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -74950,7 +74950,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec58_dec58_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74960,7 +74960,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -74978,7 +74978,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec58_dec58_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -74986,7 +74986,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -75065,13 +75065,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec58_dec58_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -75079,9 +75079,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec58_dec58_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -75090,21 +75090,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec58_dec58_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec58_dec58_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75113,7 +75113,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75122,7 +75122,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75131,7 +75131,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75140,7 +75140,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75149,7 +75149,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75158,7 +75158,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75167,32 +75167,32 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec58_dec58_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec58_dec58_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec58_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec62_dec62_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec62_dec62_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec62_dec62_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -75203,7 +75203,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -75212,15 +75212,15 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec62_dec62_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -75253,7 +75253,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec62_dec62_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -75271,7 +75271,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec62_dec62_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -75281,7 +75281,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -75299,7 +75299,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec62_dec62_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -75307,7 +75307,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -75386,13 +75386,13 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec62_dec62_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -75400,9 +75400,9 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec62_dec62_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -75411,21 +75411,21 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec62_dec62_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec62_dec62_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75434,7 +75434,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75443,7 +75443,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75452,7 +75452,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75461,7 +75461,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75470,7 +75470,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75479,7 +75479,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75488,16 +75488,16 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec62_dec62_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec62_dec62_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec62_opcode_in;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -75530,7 +75530,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [4:0] form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -75548,7 +75548,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] function_unit;
   reg [14:0] function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -75559,7 +75559,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] in1_sel;
   reg [2:0] in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -75578,7 +75578,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] in2_sel;
   reg [3:0] in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -75587,7 +75587,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] in3_sel;
   reg [2:0] in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -75667,14 +75667,14 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] internal_op;
   reg [6:0] internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output is_32b;
   reg is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -75683,16 +75683,16 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [3:0] ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output lk;
   reg lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   output [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [5:0] opcode_switch;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [31:0] \opcode_switch$1 ;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -75701,27 +75701,27 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] out_sel;
   reg [2:0] out_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] rc_sel;
   reg [1:0] rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   reg sgn_ext;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] sh;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75730,7 +75730,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75739,7 +75739,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75748,7 +75748,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75757,7 +75757,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75766,7 +75766,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75775,7 +75775,7 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -75784,17 +75784,17 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   reg [2:0] sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] upd;
   reg [1:0] upd;
-  assign \$2  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:519" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
+  assign \$2  = bigendian ? (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:521" *) { raw_opcode_in[7:0], raw_opcode_in[15:8], raw_opcode_in[23:16], raw_opcode_in[31:24] } : raw_opcode_in;
   dec19 dec19 (
     .dec19_SV_Etype(dec19_dec19_SV_Etype),
     .dec19_SV_Ptype(dec19_dec19_SV_Ptype),
@@ -76014,168 +76014,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           form = dec19_dec19_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           form = dec30_dec30_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           form = dec31_dec31_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           form = dec58_dec58_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           form = dec62_dec62_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           form = dec22_dec22_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           form = 5'h03;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           form = 5'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           form = 5'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           form = 5'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           form = 5'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           form = 5'h13;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           form = 5'h13;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           form = 5'h13;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           form = 5'h04;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           form = 5'h00;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           form = 5'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           form = 5'h00;
     endcase
@@ -76183,168 +76183,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           asmcode = dec19_dec19_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           asmcode = dec30_dec30_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           asmcode = dec31_dec31_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           asmcode = dec58_dec58_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           asmcode = dec62_dec62_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           asmcode = dec22_dec22_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           asmcode = 8'h07;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           asmcode = 8'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           asmcode = 8'h06;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           asmcode = 8'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           asmcode = 8'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           asmcode = 8'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           asmcode = 8'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           asmcode = 8'h15;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           asmcode = 8'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           asmcode = 8'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           asmcode = 8'h64;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           asmcode = 8'h65;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           asmcode = 8'h72;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           asmcode = 8'h74;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           asmcode = 8'h6e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           asmcode = 8'h70;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           asmcode = 8'h78;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           asmcode = 8'h7a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           asmcode = 8'h7e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           asmcode = 8'h7f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           asmcode = 8'h87;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           asmcode = 8'h89;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           asmcode = 8'ha0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           asmcode = 8'haa;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           asmcode = 8'hab;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           asmcode = 8'hb8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           asmcode = 8'hb9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           asmcode = 8'hba;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           asmcode = 8'hc7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           asmcode = 8'hca;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           asmcode = 8'hd7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           asmcode = 8'hd9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           asmcode = 8'hd3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           asmcode = 8'hd5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           asmcode = 8'hdc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           asmcode = 8'hdf;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           asmcode = 8'he2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           asmcode = 8'he5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           asmcode = 8'hed;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           asmcode = 8'hf5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           asmcode = 8'hf9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           asmcode = 8'hfb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           asmcode = 8'hfc;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           asmcode = 8'h13;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           asmcode = 8'ha6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           asmcode = 8'hbd;
     endcase
@@ -76352,168 +76352,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           SV_Etype = dec19_dec19_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SV_Etype = dec30_dec30_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SV_Etype = dec31_dec31_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           SV_Etype = dec58_dec58_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           SV_Etype = dec62_dec62_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           SV_Etype = dec22_dec22_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           SV_Etype = 2'h2;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           SV_Etype = 2'h0;
     endcase
@@ -76521,168 +76521,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           SV_Ptype = dec19_dec19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           SV_Ptype = dec30_dec30_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           SV_Ptype = dec31_dec31_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           SV_Ptype = dec58_dec58_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           SV_Ptype = dec62_dec62_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           SV_Ptype = dec22_dec22_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           SV_Ptype = 2'h2;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           SV_Ptype = 2'h0;
     endcase
@@ -76690,168 +76690,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           in1_sel = dec19_dec19_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           in1_sel = dec30_dec30_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           in1_sel = dec31_dec31_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           in1_sel = dec58_dec58_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           in1_sel = dec62_dec62_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           in1_sel = dec22_dec22_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           in1_sel = 3'h4;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           in1_sel = 3'h0;
     endcase
@@ -76859,168 +76859,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           in2_sel = dec19_dec19_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           in2_sel = dec30_dec30_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           in2_sel = dec31_dec31_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           in2_sel = dec58_dec58_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           in2_sel = dec62_dec62_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           in2_sel = dec22_dec22_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           in2_sel = 4'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           in2_sel = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           in2_sel = 4'h6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           in2_sel = 4'h7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           in2_sel = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           in2_sel = 4'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           in2_sel = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           in2_sel = 4'h4;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           in2_sel = 4'h0;
     endcase
@@ -77028,168 +77028,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           in3_sel = dec19_dec19_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           in3_sel = dec30_dec30_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           in3_sel = dec31_dec31_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           in3_sel = dec58_dec58_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           in3_sel = dec62_dec62_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           in3_sel = dec22_dec22_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           in3_sel = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           in3_sel = 3'h0;
     endcase
@@ -77197,168 +77197,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           out_sel = dec19_dec19_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           out_sel = dec30_dec30_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           out_sel = dec31_dec31_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           out_sel = dec58_dec58_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           out_sel = dec62_dec62_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           out_sel = dec22_dec22_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           out_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           out_sel = 3'h2;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           out_sel = 3'h1;
     endcase
@@ -77366,168 +77366,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           cr_in = dec19_dec19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           cr_in = dec30_dec30_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           cr_in = dec31_dec31_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           cr_in = dec58_dec58_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           cr_in = dec62_dec62_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           cr_in = dec22_dec22_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           cr_in = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           cr_in = 3'h0;
     endcase
@@ -77535,168 +77535,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           cr_out = dec19_dec19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           cr_out = dec30_dec30_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           cr_out = dec31_dec31_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           cr_out = dec58_dec58_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           cr_out = dec62_dec62_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           cr_out = dec22_dec22_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           cr_out = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           cr_out = 3'h0;
     endcase
@@ -77704,168 +77704,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_in1 = dec19_dec19_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_in1 = dec30_dec30_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_in1 = dec31_dec31_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_in1 = dec58_dec58_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_in1 = dec62_dec62_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_in1 = dec22_dec22_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_in1 = 3'h2;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_in1 = 3'h0;
     endcase
@@ -77873,168 +77873,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_in2 = dec19_dec19_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_in2 = dec30_dec30_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_in2 = dec31_dec31_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_in2 = dec58_dec58_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_in2 = dec62_dec62_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_in2 = dec22_dec22_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_in2 = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_in2 = 3'h0;
     endcase
@@ -78042,168 +78042,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_in3 = dec19_dec19_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_in3 = dec30_dec30_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_in3 = dec31_dec31_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_in3 = dec58_dec58_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_in3 = dec62_dec62_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_in3 = dec22_dec22_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_in3 = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_in3 = 3'h0;
     endcase
@@ -78211,168 +78211,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_out = dec19_dec19_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_out = dec30_dec30_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_out = dec31_dec31_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_out = dec58_dec58_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_out = dec62_dec62_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_out = dec22_dec22_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_out = 3'h1;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_out = 3'h0;
     endcase
@@ -78380,168 +78380,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_out2 = dec19_dec19_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_out2 = dec30_dec30_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_out2 = dec31_dec31_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_out2 = dec58_dec58_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_out2 = dec62_dec62_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_out2 = dec22_dec22_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_out2 = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_out2 = 3'h0;
     endcase
@@ -78549,168 +78549,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_cr_in = dec19_dec19_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_cr_in = dec30_dec30_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_cr_in = dec31_dec31_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_cr_in = dec58_dec58_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_cr_in = dec62_dec62_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_cr_in = dec22_dec22_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_cr_in = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_cr_in = 3'h0;
     endcase
@@ -78718,168 +78718,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sv_cr_out = dec19_dec19_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sv_cr_out = dec30_dec30_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sv_cr_out = dec31_dec31_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sv_cr_out = dec58_dec58_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sv_cr_out = dec62_dec62_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sv_cr_out = dec22_dec22_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sv_cr_out = 3'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sv_cr_out = 3'h0;
     endcase
@@ -78887,168 +78887,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           ldst_len = dec19_dec19_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           ldst_len = dec30_dec30_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           ldst_len = dec31_dec31_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           ldst_len = dec58_dec58_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           ldst_len = dec62_dec62_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           ldst_len = dec22_dec22_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           ldst_len = 4'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           ldst_len = 4'h0;
     endcase
@@ -79056,168 +79056,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           upd = dec19_dec19_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           upd = dec30_dec30_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           upd = dec31_dec31_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           upd = dec58_dec58_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           upd = dec62_dec62_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           upd = dec22_dec22_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           upd = 2'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           upd = 2'h0;
     endcase
@@ -79225,168 +79225,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           rc_sel = dec19_dec19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           rc_sel = dec30_dec30_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           rc_sel = dec31_dec31_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           rc_sel = dec58_dec58_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           rc_sel = dec62_dec62_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           rc_sel = dec22_dec22_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           rc_sel = 2'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           rc_sel = 2'h0;
     endcase
@@ -79394,168 +79394,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           cry_in = dec19_dec19_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           cry_in = dec30_dec30_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           cry_in = dec31_dec31_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           cry_in = dec58_dec58_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           cry_in = dec62_dec62_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           cry_in = dec22_dec22_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           cry_in = 2'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           cry_in = 2'h0;
     endcase
@@ -79563,168 +79563,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           inv_a = dec19_dec19_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           inv_a = dec30_dec30_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           inv_a = dec31_dec31_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           inv_a = dec58_dec58_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           inv_a = dec62_dec62_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           inv_a = dec22_dec22_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           inv_a = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           inv_a = 1'h0;
     endcase
@@ -79732,168 +79732,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           inv_out = dec19_dec19_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           inv_out = dec30_dec30_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           inv_out = dec31_dec31_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           inv_out = dec58_dec58_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           inv_out = dec62_dec62_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           inv_out = dec22_dec22_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           inv_out = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           inv_out = 1'h0;
     endcase
@@ -79901,168 +79901,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           cry_out = dec19_dec19_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           cry_out = dec30_dec30_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           cry_out = dec31_dec31_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           cry_out = dec58_dec58_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           cry_out = dec62_dec62_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           cry_out = dec22_dec22_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           cry_out = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           cry_out = 1'h0;
     endcase
@@ -80070,168 +80070,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           br = dec19_dec19_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           br = dec30_dec30_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           br = dec31_dec31_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           br = dec58_dec58_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           br = dec62_dec62_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           br = dec22_dec22_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           br = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           br = 1'h0;
     endcase
@@ -80239,168 +80239,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sgn_ext = dec19_dec19_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sgn_ext = dec30_dec30_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sgn_ext = dec31_dec31_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sgn_ext = dec58_dec58_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sgn_ext = dec62_dec62_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sgn_ext = dec22_dec22_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sgn_ext = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sgn_ext = 1'h0;
     endcase
@@ -80408,168 +80408,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           rsrv = dec19_dec19_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           rsrv = dec30_dec30_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           rsrv = dec31_dec31_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           rsrv = dec58_dec58_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           rsrv = dec62_dec62_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           rsrv = dec22_dec22_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           rsrv = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           rsrv = 1'h0;
     endcase
@@ -80577,168 +80577,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           is_32b = dec19_dec19_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           is_32b = dec30_dec30_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           is_32b = dec31_dec31_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           is_32b = dec58_dec58_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           is_32b = dec62_dec62_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           is_32b = dec22_dec22_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           is_32b = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           is_32b = 1'h0;
     endcase
@@ -80746,168 +80746,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sgn = dec19_dec19_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sgn = dec30_dec30_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sgn = dec31_dec31_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sgn = dec58_dec58_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sgn = dec62_dec62_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sgn = dec22_dec22_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sgn = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sgn = 1'h0;
     endcase
@@ -80915,168 +80915,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           lk = dec19_dec19_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           lk = dec30_dec30_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           lk = dec31_dec31_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           lk = dec58_dec58_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           lk = dec62_dec62_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           lk = dec22_dec22_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           lk = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           lk = 1'h0;
     endcase
@@ -81084,168 +81084,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           sgl_pipe = dec19_dec19_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           sgl_pipe = dec30_dec30_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           sgl_pipe = dec31_dec31_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           sgl_pipe = dec58_dec58_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           sgl_pipe = dec62_dec62_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           sgl_pipe = dec22_dec22_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           sgl_pipe = 1'h0;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           sgl_pipe = 1'h1;
     endcase
@@ -81253,168 +81253,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           function_unit = dec19_dec19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           function_unit = dec30_dec30_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           function_unit = dec31_dec31_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           function_unit = dec58_dec58_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           function_unit = dec62_dec62_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           function_unit = dec22_dec22_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           function_unit = 15'h0010;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           function_unit = 15'h0000;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           function_unit = 15'h0000;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           function_unit = 15'h0000;
     endcase
@@ -81422,168 +81422,168 @@ module \dec$171 (raw_opcode_in, opcode_in, rc_sel, internal_op, SPR, function_un
   always @* begin
     if (\initial ) begin end
     internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h13:
           internal_op = dec19_dec19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1e:
           internal_op = dec30_dec30_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1f:
           internal_op = dec31_dec31_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3a:
           internal_op = dec58_dec58_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h3e:
           internal_op = dec62_dec62_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h16:
           internal_op = dec22_dec22_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0c:
           internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0d:
           internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0e:
           internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0f:
           internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h11:
           internal_op = 7'h49;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1c:
           internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1d:
           internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h12:
           internal_op = 7'h06;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h10:
           internal_op = 7'h07;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0b:
           internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h0a:
           internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h22:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h23:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h32:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h33:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h30:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h31:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2a:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2b:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h28:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h29:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h20:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h21:
           internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h07:
           internal_op = 7'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h18:
           internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h19:
           internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h14:
           internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h15:
           internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h17:
           internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h26:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h27:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h36:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h37:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h34:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h35:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2c:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h2d:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h24:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h25:
           internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h08:
           internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h02:
           internal_op = 7'h3f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h03:
           internal_op = 7'h3f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1a:
           internal_op = 7'h43;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       6'h1b:
           internal_op = 7'h43;
     endcase
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (\opcode_switch$1 )
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000000???????????????0100000000?:
           internal_op = 7'h05;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'd1610612736:
           internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       32'b000001???????????????0000000011?:
           internal_op = 7'h44;
     endcase
@@ -81945,20 +81945,20 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec19_SV_Etype;
   reg [1:0] dec19_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec19_SV_Ptype;
   reg [1:0] dec19_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec19_asmcode;
   reg [7:0] dec19_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_br;
   reg dec19_br;
   (* enum_base_type = "CRInSel" *)
@@ -81970,7 +81970,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_cr_in;
   reg [2:0] dec19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -81980,17 +81980,17 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_cr_out;
   reg [2:0] dec19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec19_cry_in;
   reg [1:0] dec19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_cry_out;
   reg dec19_cry_out;
   (* enum_base_type = "Form" *)
@@ -82024,7 +82024,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec19_form;
   reg [4:0] dec19_form;
   (* enum_base_type = "Function" *)
@@ -82043,7 +82043,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec19_function_unit;
   reg [14:0] dec19_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -82054,7 +82054,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_in1_sel;
   reg [2:0] dec19_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -82073,7 +82073,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec19_in2_sel;
   reg [3:0] dec19_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -82082,7 +82082,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_in3_sel;
   reg [2:0] dec19_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -82162,16 +82162,16 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec19_internal_op;
   reg [6:0] dec19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_inv_a;
   reg dec19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_inv_out;
   reg dec19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_is_32b;
   reg dec19_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -82180,10 +82180,10 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec19_ldst_len;
   reg [3:0] dec19_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_lk;
   reg dec19_lk;
   (* enum_base_type = "OutSel" *)
@@ -82193,26 +82193,26 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_out_sel;
   reg [2:0] dec19_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec19_rc_sel;
   reg [1:0] dec19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_rsrv;
   reg dec19_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_sgl_pipe;
   reg dec19_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_sgn;
   reg dec19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec19_sgn_ext;
   reg dec19_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -82222,7 +82222,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_cr_in;
   reg [2:0] dec19_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -82232,7 +82232,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_cr_out;
   reg [2:0] dec19_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -82242,7 +82242,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_in1;
   reg [2:0] dec19_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -82252,7 +82252,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_in2;
   reg [2:0] dec19_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -82262,7 +82262,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_in3;
   reg [2:0] dec19_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -82272,7 +82272,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_out;
   reg [2:0] dec19_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -82282,7 +82282,7 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec19_sv_out2;
   reg [2:0] dec19_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -82290,61 +82290,61 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec19_upd;
   reg [1:0] dec19_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_function_unit = 15'h0020;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_function_unit = 15'h0080;
     endcase
@@ -82352,51 +82352,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_cr_in = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_cr_in = 3'h0;
     endcase
@@ -82404,51 +82404,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_cr_out = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_cr_out = 3'h0;
     endcase
@@ -82456,51 +82456,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_in1 = 3'h0;
     endcase
@@ -82508,51 +82508,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_in2 = 3'h0;
     endcase
@@ -82560,51 +82560,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_in3 = 3'h0;
     endcase
@@ -82612,51 +82612,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_out = 3'h0;
     endcase
@@ -82664,51 +82664,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_out2 = 3'h0;
     endcase
@@ -82716,51 +82716,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_cr_in = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_cr_in = 3'h0;
     endcase
@@ -82768,51 +82768,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sv_cr_out = 3'h0;
     endcase
@@ -82820,51 +82820,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_ldst_len = 4'h0;
     endcase
@@ -82872,51 +82872,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_internal_op = 7'h2a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_internal_op = 7'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_internal_op = 7'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_internal_op = 7'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_internal_op = 7'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_internal_op = 7'h24;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_internal_op = 7'h46;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_internal_op = 7'h46;
     endcase
@@ -82924,51 +82924,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_upd = 2'h0;
     endcase
@@ -82976,51 +82976,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_rc_sel = 2'h0;
     endcase
@@ -83028,51 +83028,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_cry_in = 2'h0;
     endcase
@@ -83080,51 +83080,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_asmcode = 8'h8c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_asmcode = 8'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_asmcode = 8'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_asmcode = 8'h27;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_asmcode = 8'h28;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_asmcode = 8'h29;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_asmcode = 8'h2a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_asmcode = 8'h2b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_asmcode = 8'h2c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_asmcode = 8'h16;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_asmcode = 8'h17;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_asmcode = 8'h18;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_asmcode = 8'h62;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_asmcode = 8'hb1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_asmcode = 8'h5e;
     endcase
@@ -83132,51 +83132,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_inv_a = 1'h0;
     endcase
@@ -83184,51 +83184,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_inv_out = 1'h0;
     endcase
@@ -83236,51 +83236,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_cry_out = 1'h0;
     endcase
@@ -83288,51 +83288,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_br = 1'h0;
     endcase
@@ -83340,51 +83340,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sgn_ext = 1'h0;
     endcase
@@ -83392,51 +83392,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_rsrv = 1'h0;
     endcase
@@ -83444,51 +83444,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_form = 5'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_form = 5'h09;
     endcase
@@ -83496,51 +83496,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_is_32b = 1'h0;
     endcase
@@ -83548,51 +83548,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sgn = 1'h0;
     endcase
@@ -83600,51 +83600,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_lk = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_lk = 1'h0;
     endcase
@@ -83652,51 +83652,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_sgl_pipe = 1'h0;
     endcase
@@ -83704,51 +83704,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_SV_Etype = 2'h0;
     endcase
@@ -83756,51 +83756,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_SV_Ptype = 2'h0;
     endcase
@@ -83808,51 +83808,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_in1_sel = 3'h3;
     endcase
@@ -83860,51 +83860,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_in2_sel = 4'hc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_in2_sel = 4'hc;
     endcase
@@ -83912,51 +83912,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_in3_sel = 3'h0;
     endcase
@@ -83964,51 +83964,51 @@ module dec19(dec19_function_unit, dec19_internal_op, dec19_form, dec19_asmcode,
   always @* begin
     if (\initial ) begin end
     dec19_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h000:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h101:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h081:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h121:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0e1:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h021:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1c1:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h1a1:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h0c1:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h210:
           dec19_out_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h010:
           dec19_out_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h230:
           dec19_out_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h096:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h012:
           dec19_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       10'h112:
           dec19_out_sel = 3'h0;
     endcase
@@ -84072,23 +84072,23 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   wire \$60 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *)
   wire \$62 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$69 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1356" *)
   wire \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$71 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$73 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$75 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$77 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$79 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$81 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \$83 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1274" *)
   wire \$85 ;
@@ -84115,48 +84115,48 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   output [1:0] SV_Ptype;
   reg [1:0] SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
   output [7:0] asmcode;
   reg [7:0] asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   output [63:0] cia;
   reg [63:0] cia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] cr_in1;
   reg [6:0] cr_in1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_in1_ok;
   reg cr_in1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] cr_in2;
   reg [6:0] cr_in2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] \cr_in2$1 ;
   reg [6:0] \cr_in2$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_in2_ok;
   reg cr_in2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_in2_ok$2 ;
   reg \cr_in2_ok$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] cr_out;
   reg [6:0] cr_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_out_ok;
   reg cr_out_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [7:0] cr_rd;
   reg [7:0] cr_rd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_rd_ok;
   reg cr_rd_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [7:0] cr_wr;
   reg [7:0] cr_wr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_wr_ok;
   reg cr_wr_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
@@ -84195,61 +84195,61 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   wire dec2_exc_rc_error;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   wire dec2_exc_segment_fault;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [7:0] dec_FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [4:0] dec_XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [9:0] dec_XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] dec_X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   wire [2:0] dec_X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_a_fast_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_a_fast_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [4:0] dec_a_reg_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_a_reg_a_ok;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -84375,21 +84375,21 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] dec_a_spr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_a_spr_a_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:115" *)
   wire dec_a_sv_nz;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_b_fast_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_b_fast_b_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec_b_reg_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_b_reg_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -84409,9 +84409,9 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:222" *)
   wire [3:0] dec_b_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [4:0] dec_c_reg_c;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_c_reg_c_ok;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -84430,23 +84430,23 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_cr_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_cr_in_cr_bitfield;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_cr_in_cr_bitfield_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_in_cr_bitfield_b_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_cr_in_cr_bitfield_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_in_cr_bitfield_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_in_cr_bitfield_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] dec_cr_in_cr_fxm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_in_cr_fxm_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:571" *)
   wire [31:0] dec_cr_in_insn_in;
@@ -84468,15 +84468,15 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_cr_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_cr_out_cr_bitfield;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_out_cr_bitfield_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] dec_cr_out_cr_fxm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_cr_out_cr_fxm_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:646" *)
   wire [31:0] dec_cr_out_insn_in;
@@ -84495,7 +84495,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_cry_in;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -84513,7 +84513,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -84523,7 +84523,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -84541,7 +84541,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -84549,7 +84549,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -84628,35 +84628,35 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_internal_op;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1300" *)
   wire dec_irq_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_is_32b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_o2_fast_o2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o2_fast_o2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_o2_fast_o3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o2_fast_o3_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:441" *)
   wire dec_o2_lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [4:0] dec_o2_reg_o2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o2_reg_o2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec_o_fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o_fast_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [4:0] dec_o_reg_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o_reg_o_ok;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -84781,13 +84781,13 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] dec_o_spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_o_spr_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -84795,7 +84795,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -84804,17 +84804,17 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_out_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_rc_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -84827,12 +84827,12 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] ea;
   reg [6:0] ea;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ea_ok;
   reg ea_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
@@ -84861,40 +84861,40 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   reg exc_segment_fault;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1299" *)
   wire ext_irq_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast1;
   reg [2:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast2;
   reg [2:0] fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   reg fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast3;
   reg [2:0] fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
   reg fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fasto1;
   reg [2:0] fasto1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fasto1_ok;
   reg fasto1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fasto2;
   reg [2:0] fasto2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fasto2_ok;
   reg fasto2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fasto3;
   reg [2:0] fasto3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fasto3_ok;
   reg fasto3_ok;
   (* enum_base_type = "Function" *)
@@ -84913,7 +84913,7 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   output [14:0] fn_unit;
   reg [14:0] fn_unit;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1302" *)
@@ -84922,10 +84922,10 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   output [1:0] input_carry;
   reg [1:0] input_carry;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   output [31:0] insn;
   reg [31:0] insn;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:491" *)
@@ -85019,10 +85019,10 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   output [6:0] insn_type;
   reg [6:0] insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   output is_32bit;
   reg is_32bit;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:884" *)
@@ -85031,50 +85031,50 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   reg is_priv_insn;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
   output lk;
   reg lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   output [63:0] msr;
   reg [63:0] msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1301" *)
   wire priv_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] reg1;
   reg [6:0] reg1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg1_ok;
   reg reg1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] reg2;
   reg [6:0] reg2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg2_ok;
   reg reg2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] reg3;
   reg [6:0] reg3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg3_ok;
   reg reg3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] rego;
   reg [6:0] rego;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rego_ok;
   reg rego_ok;
   (* enum_base_type = "OutSel" *)
@@ -85202,10 +85202,10 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spr1;
   reg [9:0] spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   reg spr1_ok;
   (* enum_base_type = "SPR" *)
@@ -85322,10 +85322,10 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spro;
   reg [9:0] spro;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spro_ok;
   reg spro_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:747" *)
@@ -85343,70 +85343,70 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   output [1:0] sv_saturate;
   reg [1:0] sv_saturate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   output [31:0] svstate;
   reg [31:0] svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
   wire [7:0] tmp_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_cr_in1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_cr_in1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_cr_in2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \tmp_cr_in2$5 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_cr_in2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \tmp_cr_in2_ok$6 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_cr_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_cr_out_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_ea;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_ea_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fasto1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fasto1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fasto2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fasto2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] tmp_fasto3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_fasto3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_reg1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_reg1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_reg2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_reg2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_reg3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_reg3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] tmp_rego;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_rego_ok;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000000001 = "XER" *)
@@ -85522,9 +85522,9 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] tmp_spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_spr1_ok;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000000001 = "XER" *)
@@ -85640,9 +85640,9 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] tmp_spro;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_spro_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -85660,15 +85660,15 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_10 = "UNSIGNED" *)
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [1:0] tmp_tmp__sv_saturate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   wire [63:0] tmp_tmp_cia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] tmp_tmp_cr_rd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_cr_rd_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] tmp_tmp_cr_wr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_cr_wr_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   wire tmp_tmp_exc_alignment;
@@ -85702,15 +85702,15 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   reg [14:0] tmp_tmp_fn_unit;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   wire [1:0] tmp_tmp_input_carry;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   wire [31:0] tmp_tmp_insn;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -85789,42 +85789,42 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   reg [6:0] tmp_tmp_insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   wire tmp_tmp_is_32bit;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
   reg tmp_tmp_lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   wire [63:0] tmp_tmp_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_oe_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire tmp_tmp_rc_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   wire [31:0] tmp_tmp_svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   reg [12:0] tmp_tmp_trapaddr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   wire [7:0] tmp_tmp_traptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
-  reg [2:0] tmp_xer_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+  reg [2:0] tmp_xer_in;
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
   reg tmp_xer_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   output [12:0] trapaddr;
   reg [12:0] trapaddr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   output [7:0] traptype;
   reg [7:0] traptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
   output [2:0] xer_in;
   reg [2:0] xer_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
   output xer_out;
   reg xer_out;
   assign \$9  = insn_type == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1357" *) 7'h49;
@@ -85855,15 +85855,15 @@ module dec2(bigendian, cur_pc, cur_msr, cur_dec, cur_cur_svstep, cur_cur_subvl,
   assign \$58  = is_spr_mv & (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) \$56 ;
   assign \$60  = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) is_mmu_spr;
   assign \$62  = \$58  & (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:896" *) \$60 ;
-  assign \$69  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_a_reg_a;
-  assign \$71  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_c_reg_c;
-  assign \$73  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_o_reg_o;
-  assign \$75  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_o2_reg_o2;
-  assign \$77  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield;
+  assign \$69  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_a_reg_a;
+  assign \$71  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_c_reg_c;
+  assign \$73  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_o_reg_o;
+  assign \$75  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_o2_reg_o2;
+  assign \$77  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield;
   assign \$7  = insn_type == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1356" *) 7'h3f;
-  assign \$79  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield_b;
-  assign \$81  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_in_cr_bitfield_o;
-  assign \$83  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) dec_cr_out_cr_bitfield;
+  assign \$79  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield_b;
+  assign \$81  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_in_cr_bitfield_o;
+  assign \$83  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) dec_cr_out_cr_bitfield;
   assign \$85  = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1274" *) 7'h2e;
   assign \$87  = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1276" *) 7'h0a;
   assign \$89  = dec_internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:1278" *) 7'h31;
@@ -86398,20 +86398,20 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec22_SV_Etype;
   reg [1:0] dec22_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec22_SV_Ptype;
   reg [1:0] dec22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec22_asmcode;
   reg [7:0] dec22_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_br;
   reg dec22_br;
   (* enum_base_type = "CRInSel" *)
@@ -86423,7 +86423,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_cr_in;
   reg [2:0] dec22_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -86433,17 +86433,17 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_cr_out;
   reg [2:0] dec22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec22_cry_in;
   reg [1:0] dec22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_cry_out;
   reg dec22_cry_out;
   (* enum_base_type = "Form" *)
@@ -86477,7 +86477,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec22_form;
   reg [4:0] dec22_form;
   (* enum_base_type = "Function" *)
@@ -86496,7 +86496,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec22_function_unit;
   reg [14:0] dec22_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -86507,7 +86507,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_in1_sel;
   reg [2:0] dec22_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -86526,7 +86526,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec22_in2_sel;
   reg [3:0] dec22_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -86535,7 +86535,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_in3_sel;
   reg [2:0] dec22_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -86615,16 +86615,16 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec22_internal_op;
   reg [6:0] dec22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_inv_a;
   reg dec22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_inv_out;
   reg dec22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_is_32b;
   reg dec22_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -86633,10 +86633,10 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec22_ldst_len;
   reg [3:0] dec22_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_lk;
   reg dec22_lk;
   (* enum_base_type = "OutSel" *)
@@ -86646,26 +86646,26 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_out_sel;
   reg [2:0] dec22_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec22_rc_sel;
   reg [1:0] dec22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_rsrv;
   reg dec22_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_sgl_pipe;
   reg dec22_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_sgn;
   reg dec22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec22_sgn_ext;
   reg dec22_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -86675,7 +86675,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_cr_in;
   reg [2:0] dec22_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -86685,7 +86685,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_cr_out;
   reg [2:0] dec22_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -86695,7 +86695,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_in1;
   reg [2:0] dec22_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -86705,7 +86705,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_in2;
   reg [2:0] dec22_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -86715,7 +86715,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_in3;
   reg [2:0] dec22_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -86725,7 +86725,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_out;
   reg [2:0] dec22_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -86735,7 +86735,7 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec22_sv_out2;
   reg [2:0] dec22_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -86743,19 +86743,19 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec22_upd;
   reg [1:0] dec22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [3:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec22_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_function_unit = 15'h2000;
     endcase
@@ -86763,9 +86763,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_cr_in = 3'h0;
     endcase
@@ -86773,9 +86773,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_cr_out = 3'h1;
     endcase
@@ -86783,9 +86783,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_in1 = 3'h0;
     endcase
@@ -86793,9 +86793,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_in2 = 3'h0;
     endcase
@@ -86803,9 +86803,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_in3 = 3'h0;
     endcase
@@ -86813,9 +86813,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_out = 3'h0;
     endcase
@@ -86823,9 +86823,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_out2 = 3'h0;
     endcase
@@ -86833,9 +86833,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_cr_in = 3'h0;
     endcase
@@ -86843,9 +86843,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sv_cr_out = 3'h0;
     endcase
@@ -86853,9 +86853,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_ldst_len = 4'h0;
     endcase
@@ -86863,9 +86863,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_internal_op = 7'h4c;
     endcase
@@ -86873,9 +86873,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_upd = 2'h0;
     endcase
@@ -86883,9 +86883,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_rc_sel = 2'h2;
     endcase
@@ -86893,9 +86893,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_cry_in = 2'h0;
     endcase
@@ -86903,9 +86903,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_asmcode = 8'hbc;
     endcase
@@ -86913,9 +86913,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_inv_a = 1'h0;
     endcase
@@ -86923,9 +86923,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_inv_out = 1'h0;
     endcase
@@ -86933,9 +86933,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_cry_out = 1'h0;
     endcase
@@ -86943,9 +86943,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_br = 1'h0;
     endcase
@@ -86953,9 +86953,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sgn_ext = 1'h0;
     endcase
@@ -86963,9 +86963,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_rsrv = 1'h0;
     endcase
@@ -86973,9 +86973,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_form = 5'h1d;
     endcase
@@ -86983,9 +86983,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_is_32b = 1'h0;
     endcase
@@ -86993,9 +86993,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sgn = 1'h0;
     endcase
@@ -87003,9 +87003,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_lk = 1'h0;
     endcase
@@ -87013,9 +87013,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_sgl_pipe = 1'h0;
     endcase
@@ -87023,9 +87023,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_SV_Etype = 2'h0;
     endcase
@@ -87033,9 +87033,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_SV_Ptype = 2'h0;
     endcase
@@ -87043,9 +87043,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_in1_sel = 3'h2;
     endcase
@@ -87053,9 +87053,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_in2_sel = 4'h0;
     endcase
@@ -87063,9 +87063,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_in3_sel = 3'h0;
     endcase
@@ -87073,9 +87073,9 @@ module dec22(dec22_function_unit, dec22_internal_op, dec22_form, dec22_asmcode,
   always @* begin
     if (\initial ) begin end
     dec22_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec22_out_sel = 3'h4;
     endcase
@@ -87091,20 +87091,20 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec30_SV_Etype;
   reg [1:0] dec30_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec30_SV_Ptype;
   reg [1:0] dec30_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec30_asmcode;
   reg [7:0] dec30_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_br;
   reg dec30_br;
   (* enum_base_type = "CRInSel" *)
@@ -87116,7 +87116,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_cr_in;
   reg [2:0] dec30_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -87126,17 +87126,17 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_cr_out;
   reg [2:0] dec30_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec30_cry_in;
   reg [1:0] dec30_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_cry_out;
   reg dec30_cry_out;
   (* enum_base_type = "Form" *)
@@ -87170,7 +87170,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec30_form;
   reg [4:0] dec30_form;
   (* enum_base_type = "Function" *)
@@ -87189,7 +87189,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec30_function_unit;
   reg [14:0] dec30_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -87200,7 +87200,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_in1_sel;
   reg [2:0] dec30_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -87219,7 +87219,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec30_in2_sel;
   reg [3:0] dec30_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -87228,7 +87228,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_in3_sel;
   reg [2:0] dec30_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -87308,16 +87308,16 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec30_internal_op;
   reg [6:0] dec30_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_inv_a;
   reg dec30_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_inv_out;
   reg dec30_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_is_32b;
   reg dec30_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -87326,10 +87326,10 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec30_ldst_len;
   reg [3:0] dec30_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_lk;
   reg dec30_lk;
   (* enum_base_type = "OutSel" *)
@@ -87339,26 +87339,26 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_out_sel;
   reg [2:0] dec30_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec30_rc_sel;
   reg [1:0] dec30_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_rsrv;
   reg dec30_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_sgl_pipe;
   reg dec30_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_sgn;
   reg dec30_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec30_sgn_ext;
   reg dec30_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -87368,7 +87368,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_cr_in;
   reg [2:0] dec30_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -87378,7 +87378,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_cr_out;
   reg [2:0] dec30_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -87388,7 +87388,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_in1;
   reg [2:0] dec30_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -87398,7 +87398,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_in2;
   reg [2:0] dec30_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -87408,7 +87408,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_in3;
   reg [2:0] dec30_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -87418,7 +87418,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_out;
   reg [2:0] dec30_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -87428,7 +87428,7 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec30_sv_out2;
   reg [2:0] dec30_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -87436,46 +87436,46 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec30_upd;
   reg [1:0] dec30_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [3:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec30_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_function_unit = 15'h0008;
     endcase
@@ -87483,36 +87483,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_cr_in = 3'h0;
     endcase
@@ -87520,36 +87520,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_cr_out = 3'h1;
     endcase
@@ -87557,36 +87557,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_in1 = 3'h0;
     endcase
@@ -87594,36 +87594,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_in2 = 3'h2;
     endcase
@@ -87631,36 +87631,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_in3 = 3'h3;
     endcase
@@ -87668,36 +87668,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_out = 3'h1;
     endcase
@@ -87705,36 +87705,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_out2 = 3'h0;
     endcase
@@ -87742,36 +87742,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_cr_in = 3'h0;
     endcase
@@ -87779,36 +87779,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sv_cr_out = 3'h1;
     endcase
@@ -87816,36 +87816,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_ldst_len = 4'h0;
     endcase
@@ -87853,36 +87853,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_internal_op = 7'h3a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_internal_op = 7'h3a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_internal_op = 7'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_internal_op = 7'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_internal_op = 7'h3a;
     endcase
@@ -87890,36 +87890,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_upd = 2'h0;
     endcase
@@ -87927,36 +87927,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_rc_sel = 2'h2;
     endcase
@@ -87964,36 +87964,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_cry_in = 2'h0;
     endcase
@@ -88001,36 +88001,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_asmcode = 8'hb4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_asmcode = 8'hb4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_asmcode = 8'hb5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_asmcode = 8'hb5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_asmcode = 8'hb6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_asmcode = 8'hb6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_asmcode = 8'hb7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_asmcode = 8'hb7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_asmcode = 8'hb2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_asmcode = 8'hb3;
     endcase
@@ -88038,36 +88038,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_inv_a = 1'h0;
     endcase
@@ -88075,36 +88075,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_inv_out = 1'h0;
     endcase
@@ -88112,36 +88112,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_cry_out = 1'h0;
     endcase
@@ -88149,36 +88149,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_br = 1'h0;
     endcase
@@ -88186,36 +88186,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sgn_ext = 1'h0;
     endcase
@@ -88223,36 +88223,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_rsrv = 1'h0;
     endcase
@@ -88260,36 +88260,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_form = 5'h15;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_form = 5'h15;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_form = 5'h14;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_form = 5'h14;
     endcase
@@ -88297,36 +88297,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_is_32b = 1'h0;
     endcase
@@ -88334,36 +88334,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sgn = 1'h0;
     endcase
@@ -88371,36 +88371,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_lk = 1'h0;
     endcase
@@ -88408,36 +88408,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_sgl_pipe = 1'h0;
     endcase
@@ -88445,36 +88445,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_SV_Etype = 2'h2;
     endcase
@@ -88482,36 +88482,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_SV_Ptype = 2'h1;
     endcase
@@ -88519,36 +88519,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_in1_sel = 3'h0;
     endcase
@@ -88556,36 +88556,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_in2_sel = 4'h1;
     endcase
@@ -88593,36 +88593,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_in3_sel = 3'h1;
     endcase
@@ -88630,36 +88630,36 @@ module dec30(dec30_function_unit, dec30_internal_op, dec30_form, dec30_asmcode,
   always @* begin
     if (\initial ) begin end
     dec30_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h4:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h5:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h0:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h1:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h2:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h3:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h6:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h7:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h8:
           dec30_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       4'h9:
           dec30_out_sel = 3'h2;
     endcase
@@ -88675,20 +88675,20 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_SV_Etype;
   reg [1:0] dec31_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_SV_Ptype;
   reg [1:0] dec31_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_asmcode;
   reg [7:0] dec31_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_br;
   reg dec31_br;
   (* enum_base_type = "CRInSel" *)
@@ -88700,7 +88700,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_cr_in;
   reg [2:0] dec31_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -88710,34 +88710,34 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_cr_out;
   reg [2:0] dec31_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_cry_in;
   reg [1:0] dec31_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_cry_out;
   reg dec31_cry_out;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub0_dec31_dec_sub0_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub0_dec31_dec_sub0_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub0_dec31_dec_sub0_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -88748,7 +88748,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -88757,15 +88757,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub0_dec31_dec_sub0_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -88798,7 +88798,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub0_dec31_dec_sub0_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -88816,7 +88816,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub0_dec31_dec_sub0_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -88826,7 +88826,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -88844,7 +88844,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub0_dec31_dec_sub0_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -88852,7 +88852,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -88931,13 +88931,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub0_dec31_dec_sub0_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -88945,9 +88945,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub0_dec31_dec_sub0_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -88956,21 +88956,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub0_dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub0_dec31_dec_sub0_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -88979,7 +88979,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -88988,7 +88988,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -88997,7 +88997,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89006,7 +89006,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89015,7 +89015,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89024,7 +89024,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89033,32 +89033,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub0_dec31_dec_sub0_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub0_dec31_dec_sub0_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub0_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub10_dec31_dec_sub10_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub10_dec31_dec_sub10_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub10_dec31_dec_sub10_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89069,7 +89069,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89078,15 +89078,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub10_dec31_dec_sub10_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -89119,7 +89119,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub10_dec31_dec_sub10_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -89137,7 +89137,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub10_dec31_dec_sub10_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89147,7 +89147,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -89165,7 +89165,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub10_dec31_dec_sub10_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89173,7 +89173,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -89252,13 +89252,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub10_dec31_dec_sub10_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -89266,9 +89266,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub10_dec31_dec_sub10_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89277,21 +89277,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub10_dec31_dec_sub10_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub10_dec31_dec_sub10_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89300,7 +89300,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89309,7 +89309,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89318,7 +89318,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89327,7 +89327,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89336,7 +89336,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89345,7 +89345,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89354,32 +89354,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub10_dec31_dec_sub10_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub10_dec31_dec_sub10_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub10_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub11_dec31_dec_sub11_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub11_dec31_dec_sub11_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub11_dec31_dec_sub11_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89390,7 +89390,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89399,15 +89399,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub11_dec31_dec_sub11_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -89440,7 +89440,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub11_dec31_dec_sub11_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -89458,7 +89458,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub11_dec31_dec_sub11_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89468,7 +89468,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -89486,7 +89486,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub11_dec31_dec_sub11_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89494,7 +89494,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -89573,13 +89573,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub11_dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -89587,9 +89587,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub11_dec31_dec_sub11_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89598,21 +89598,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub11_dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub11_dec31_dec_sub11_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89621,7 +89621,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89630,7 +89630,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89639,7 +89639,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89648,7 +89648,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89657,7 +89657,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89666,7 +89666,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89675,32 +89675,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub11_dec31_dec_sub11_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub11_dec31_dec_sub11_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub11_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub15_dec31_dec_sub15_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub15_dec31_dec_sub15_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub15_dec31_dec_sub15_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89711,7 +89711,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89720,15 +89720,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub15_dec31_dec_sub15_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -89761,7 +89761,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub15_dec31_dec_sub15_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -89779,7 +89779,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub15_dec31_dec_sub15_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89789,7 +89789,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -89807,7 +89807,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub15_dec31_dec_sub15_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -89815,7 +89815,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -89894,13 +89894,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub15_dec31_dec_sub15_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -89908,9 +89908,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub15_dec31_dec_sub15_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -89919,21 +89919,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub15_dec31_dec_sub15_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub15_dec31_dec_sub15_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89942,7 +89942,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89951,7 +89951,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89960,7 +89960,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89969,7 +89969,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89978,7 +89978,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89987,7 +89987,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -89996,32 +89996,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub15_dec31_dec_sub15_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub15_dec31_dec_sub15_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub15_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub16_dec31_dec_sub16_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub16_dec31_dec_sub16_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub16_dec31_dec_sub16_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90032,7 +90032,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90041,15 +90041,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub16_dec31_dec_sub16_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -90082,7 +90082,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub16_dec31_dec_sub16_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -90100,7 +90100,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub16_dec31_dec_sub16_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90110,7 +90110,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -90128,7 +90128,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub16_dec31_dec_sub16_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90136,7 +90136,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -90215,13 +90215,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub16_dec31_dec_sub16_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -90229,9 +90229,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub16_dec31_dec_sub16_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90240,21 +90240,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub16_dec31_dec_sub16_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub16_dec31_dec_sub16_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90263,7 +90263,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90272,7 +90272,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90281,7 +90281,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90290,7 +90290,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90299,7 +90299,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90308,7 +90308,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90317,32 +90317,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub16_dec31_dec_sub16_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub16_dec31_dec_sub16_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub16_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub18_dec31_dec_sub18_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub18_dec31_dec_sub18_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub18_dec31_dec_sub18_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90353,7 +90353,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90362,15 +90362,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub18_dec31_dec_sub18_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -90403,7 +90403,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub18_dec31_dec_sub18_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -90421,7 +90421,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub18_dec31_dec_sub18_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90431,7 +90431,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -90449,7 +90449,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub18_dec31_dec_sub18_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90457,7 +90457,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -90536,13 +90536,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub18_dec31_dec_sub18_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -90550,9 +90550,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub18_dec31_dec_sub18_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90561,21 +90561,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub18_dec31_dec_sub18_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub18_dec31_dec_sub18_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90584,7 +90584,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90593,7 +90593,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90602,7 +90602,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90611,7 +90611,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90620,7 +90620,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90629,7 +90629,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90638,32 +90638,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub18_dec31_dec_sub18_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub18_dec31_dec_sub18_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub18_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub19_dec31_dec_sub19_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub19_dec31_dec_sub19_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub19_dec31_dec_sub19_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90674,7 +90674,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90683,15 +90683,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub19_dec31_dec_sub19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -90724,7 +90724,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub19_dec31_dec_sub19_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -90742,7 +90742,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub19_dec31_dec_sub19_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90752,7 +90752,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -90770,7 +90770,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub19_dec31_dec_sub19_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -90778,7 +90778,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -90857,13 +90857,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub19_dec31_dec_sub19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -90871,9 +90871,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub19_dec31_dec_sub19_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90882,21 +90882,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub19_dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub19_dec31_dec_sub19_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90905,7 +90905,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90914,7 +90914,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90923,7 +90923,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90932,7 +90932,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90941,7 +90941,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90950,7 +90950,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -90959,32 +90959,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub19_dec31_dec_sub19_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub19_dec31_dec_sub19_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub19_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub20_dec31_dec_sub20_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub20_dec31_dec_sub20_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub20_dec31_dec_sub20_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -90995,7 +90995,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91004,15 +91004,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub20_dec31_dec_sub20_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -91045,7 +91045,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub20_dec31_dec_sub20_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -91063,7 +91063,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub20_dec31_dec_sub20_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91073,7 +91073,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -91091,7 +91091,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub20_dec31_dec_sub20_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91099,7 +91099,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -91178,13 +91178,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub20_dec31_dec_sub20_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -91192,9 +91192,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub20_dec31_dec_sub20_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91203,21 +91203,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub20_dec31_dec_sub20_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub20_dec31_dec_sub20_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91226,7 +91226,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91235,7 +91235,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91244,7 +91244,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91253,7 +91253,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91262,7 +91262,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91271,7 +91271,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91280,32 +91280,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub20_dec31_dec_sub20_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub20_dec31_dec_sub20_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub20_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub21_dec31_dec_sub21_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub21_dec31_dec_sub21_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub21_dec31_dec_sub21_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91316,7 +91316,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91325,15 +91325,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub21_dec31_dec_sub21_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -91366,7 +91366,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub21_dec31_dec_sub21_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -91384,7 +91384,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub21_dec31_dec_sub21_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91394,7 +91394,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -91412,7 +91412,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub21_dec31_dec_sub21_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91420,7 +91420,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -91499,13 +91499,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub21_dec31_dec_sub21_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -91513,9 +91513,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub21_dec31_dec_sub21_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91524,21 +91524,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub21_dec31_dec_sub21_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub21_dec31_dec_sub21_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91547,7 +91547,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91556,7 +91556,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91565,7 +91565,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91574,7 +91574,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91583,7 +91583,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91592,7 +91592,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91601,32 +91601,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub21_dec31_dec_sub21_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub21_dec31_dec_sub21_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub21_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub22_dec31_dec_sub22_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub22_dec31_dec_sub22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub22_dec31_dec_sub22_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91637,7 +91637,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91646,15 +91646,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub22_dec31_dec_sub22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -91687,7 +91687,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub22_dec31_dec_sub22_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -91705,7 +91705,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub22_dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91715,7 +91715,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -91733,7 +91733,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub22_dec31_dec_sub22_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -91741,7 +91741,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -91820,13 +91820,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub22_dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -91834,9 +91834,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub22_dec31_dec_sub22_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91845,21 +91845,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub22_dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub22_dec31_dec_sub22_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91868,7 +91868,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91877,7 +91877,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91886,7 +91886,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91895,7 +91895,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91904,7 +91904,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91913,7 +91913,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -91922,32 +91922,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub22_dec31_dec_sub22_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub22_dec31_dec_sub22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub22_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub23_dec31_dec_sub23_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub23_dec31_dec_sub23_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub23_dec31_dec_sub23_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91958,7 +91958,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -91967,15 +91967,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub23_dec31_dec_sub23_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -92008,7 +92008,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub23_dec31_dec_sub23_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -92026,7 +92026,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub23_dec31_dec_sub23_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92036,7 +92036,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -92054,7 +92054,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub23_dec31_dec_sub23_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92062,7 +92062,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -92141,13 +92141,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub23_dec31_dec_sub23_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -92155,9 +92155,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub23_dec31_dec_sub23_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92166,21 +92166,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub23_dec31_dec_sub23_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub23_dec31_dec_sub23_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92189,7 +92189,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92198,7 +92198,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92207,7 +92207,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92216,7 +92216,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92225,7 +92225,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92234,7 +92234,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92243,32 +92243,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub23_dec31_dec_sub23_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub23_dec31_dec_sub23_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub23_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub24_dec31_dec_sub24_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub24_dec31_dec_sub24_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub24_dec31_dec_sub24_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92279,7 +92279,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92288,15 +92288,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub24_dec31_dec_sub24_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -92329,7 +92329,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub24_dec31_dec_sub24_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -92347,7 +92347,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub24_dec31_dec_sub24_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92357,7 +92357,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -92375,7 +92375,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub24_dec31_dec_sub24_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92383,7 +92383,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -92462,13 +92462,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub24_dec31_dec_sub24_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -92476,9 +92476,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub24_dec31_dec_sub24_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92487,21 +92487,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub24_dec31_dec_sub24_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub24_dec31_dec_sub24_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92510,7 +92510,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92519,7 +92519,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92528,7 +92528,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92537,7 +92537,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92546,7 +92546,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92555,7 +92555,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92564,32 +92564,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub24_dec31_dec_sub24_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub24_dec31_dec_sub24_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub24_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub26_dec31_dec_sub26_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub26_dec31_dec_sub26_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub26_dec31_dec_sub26_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92600,7 +92600,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92609,15 +92609,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub26_dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -92650,7 +92650,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub26_dec31_dec_sub26_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -92668,7 +92668,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub26_dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92678,7 +92678,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -92696,7 +92696,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub26_dec31_dec_sub26_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92704,7 +92704,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -92783,13 +92783,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub26_dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -92797,9 +92797,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub26_dec31_dec_sub26_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92808,21 +92808,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub26_dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub26_dec31_dec_sub26_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92831,7 +92831,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92840,7 +92840,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92849,7 +92849,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92858,7 +92858,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92867,7 +92867,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92876,7 +92876,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -92885,32 +92885,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub26_dec31_dec_sub26_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub26_dec31_dec_sub26_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub26_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub27_dec31_dec_sub27_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub27_dec31_dec_sub27_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub27_dec31_dec_sub27_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92921,7 +92921,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -92930,15 +92930,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub27_dec31_dec_sub27_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -92971,7 +92971,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub27_dec31_dec_sub27_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -92989,7 +92989,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub27_dec31_dec_sub27_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -92999,7 +92999,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -93017,7 +93017,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub27_dec31_dec_sub27_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93025,7 +93025,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -93104,13 +93104,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub27_dec31_dec_sub27_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -93118,9 +93118,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub27_dec31_dec_sub27_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93129,21 +93129,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub27_dec31_dec_sub27_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub27_dec31_dec_sub27_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93152,7 +93152,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93161,7 +93161,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93170,7 +93170,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93179,7 +93179,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93188,7 +93188,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93197,7 +93197,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93206,32 +93206,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub27_dec31_dec_sub27_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub27_dec31_dec_sub27_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub27_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub28_dec31_dec_sub28_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub28_dec31_dec_sub28_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub28_dec31_dec_sub28_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93242,7 +93242,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93251,15 +93251,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub28_dec31_dec_sub28_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -93292,7 +93292,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub28_dec31_dec_sub28_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -93310,7 +93310,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub28_dec31_dec_sub28_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93320,7 +93320,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -93338,7 +93338,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub28_dec31_dec_sub28_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93346,7 +93346,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -93425,13 +93425,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub28_dec31_dec_sub28_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -93439,9 +93439,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub28_dec31_dec_sub28_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93450,21 +93450,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub28_dec31_dec_sub28_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub28_dec31_dec_sub28_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93473,7 +93473,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93482,7 +93482,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93491,7 +93491,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93500,7 +93500,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93509,7 +93509,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93518,7 +93518,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93527,32 +93527,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub28_dec31_dec_sub28_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub28_dec31_dec_sub28_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub28_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub4_dec31_dec_sub4_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub4_dec31_dec_sub4_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub4_dec31_dec_sub4_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93563,7 +93563,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93572,15 +93572,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub4_dec31_dec_sub4_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -93613,7 +93613,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub4_dec31_dec_sub4_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -93631,7 +93631,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub4_dec31_dec_sub4_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93641,7 +93641,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -93659,7 +93659,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub4_dec31_dec_sub4_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93667,7 +93667,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -93746,13 +93746,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub4_dec31_dec_sub4_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -93760,9 +93760,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub4_dec31_dec_sub4_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93771,21 +93771,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub4_dec31_dec_sub4_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub4_dec31_dec_sub4_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93794,7 +93794,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93803,7 +93803,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93812,7 +93812,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93821,7 +93821,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93830,7 +93830,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93839,7 +93839,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -93848,32 +93848,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub4_dec31_dec_sub4_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub4_dec31_dec_sub4_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub4_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub8_dec31_dec_sub8_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub8_dec31_dec_sub8_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub8_dec31_dec_sub8_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93884,7 +93884,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -93893,15 +93893,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub8_dec31_dec_sub8_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -93934,7 +93934,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub8_dec31_dec_sub8_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -93952,7 +93952,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub8_dec31_dec_sub8_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93962,7 +93962,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -93980,7 +93980,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub8_dec31_dec_sub8_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -93988,7 +93988,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -94067,13 +94067,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub8_dec31_dec_sub8_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -94081,9 +94081,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub8_dec31_dec_sub8_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -94092,21 +94092,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub8_dec31_dec_sub8_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub8_dec31_dec_sub8_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94115,7 +94115,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94124,7 +94124,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94133,7 +94133,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94142,7 +94142,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94151,7 +94151,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94160,7 +94160,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94169,32 +94169,32 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub8_dec31_dec_sub8_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub8_dec31_dec_sub8_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub8_opcode_in;
   (* enum_base_type = "SVEtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub9_dec31_dec_sub9_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub9_dec31_dec_sub9_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [7:0] dec31_dec_sub9_dec31_dec_sub9_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_br;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -94205,7 +94205,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -94214,15 +94214,15 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub9_dec31_dec_sub9_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_cry_out;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -94255,7 +94255,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [4:0] dec31_dec_sub9_dec31_dec_sub9_form;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -94273,7 +94273,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec31_dec_sub9_dec31_dec_sub9_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -94283,7 +94283,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -94301,7 +94301,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub9_dec31_dec_sub9_in2_sel;
   (* enum_base_type = "In3Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -94309,7 +94309,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_in3_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -94388,13 +94388,13 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec31_dec_sub9_dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -94402,9 +94402,9 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec31_dec_sub9_dec31_dec_sub9_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_lk;
   (* enum_base_type = "OutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -94413,21 +94413,21 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub9_dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec31_dec_sub9_dec31_dec_sub9_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94436,7 +94436,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94445,7 +94445,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94454,7 +94454,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94463,7 +94463,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94472,7 +94472,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94481,7 +94481,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_out;
   (* enum_base_type = "SVEXTRA" *)
   (* enum_value_000 = "NONE" *)
@@ -94490,16 +94490,16 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec31_dec_sub9_dec31_dec_sub9_sv_out2;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec31_dec_sub9_dec31_dec_sub9_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec31_dec_sub9_opcode_in;
   (* enum_base_type = "Form" *)
   (* enum_value_00000 = "NONE" *)
@@ -94532,7 +94532,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_form;
   reg [4:0] dec31_form;
   (* enum_base_type = "Function" *)
@@ -94551,7 +94551,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_function_unit;
   reg [14:0] dec31_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -94562,7 +94562,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_in1_sel;
   reg [2:0] dec31_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -94581,7 +94581,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_in2_sel;
   reg [3:0] dec31_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -94590,7 +94590,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_in3_sel;
   reg [2:0] dec31_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -94670,16 +94670,16 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_internal_op;
   reg [6:0] dec31_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_inv_a;
   reg dec31_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_inv_out;
   reg dec31_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_is_32b;
   reg dec31_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -94688,10 +94688,10 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_ldst_len;
   reg [3:0] dec31_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_lk;
   reg dec31_lk;
   (* enum_base_type = "OutSel" *)
@@ -94701,26 +94701,26 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_out_sel;
   reg [2:0] dec31_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_rc_sel;
   reg [1:0] dec31_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_rsrv;
   reg dec31_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_sgl_pipe;
   reg dec31_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_sgn;
   reg dec31_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_sgn_ext;
   reg dec31_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -94730,7 +94730,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_cr_in;
   reg [2:0] dec31_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -94740,7 +94740,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_cr_out;
   reg [2:0] dec31_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -94750,7 +94750,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_in1;
   reg [2:0] dec31_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -94760,7 +94760,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_in2;
   reg [2:0] dec31_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -94770,7 +94770,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_in3;
   reg [2:0] dec31_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -94780,7 +94780,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_out;
   reg [2:0] dec31_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -94790,7 +94790,7 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_sv_out2;
   reg [2:0] dec31_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -94798,14 +94798,14 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_upd;
   reg [1:0] dec31_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:355" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:357" *)
   wire [4:0] opc_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [9:0] opcode_switch;
   dec31_dec_sub0 dec31_dec_sub0 (
     .dec31_dec_sub0_SV_Etype(dec31_dec_sub0_dec31_dec_sub0_SV_Etype),
@@ -95458,60 +95458,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_function_unit = dec31_dec_sub10_dec31_dec_sub10_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_function_unit = dec31_dec_sub28_dec31_dec_sub28_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_function_unit = dec31_dec_sub0_dec31_dec_sub0_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_function_unit = dec31_dec_sub26_dec31_dec_sub26_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_function_unit = dec31_dec_sub19_dec31_dec_sub19_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_function_unit = dec31_dec_sub22_dec31_dec_sub22_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_function_unit = dec31_dec_sub9_dec31_dec_sub9_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_function_unit = dec31_dec_sub11_dec31_dec_sub11_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_function_unit = dec31_dec_sub27_dec31_dec_sub27_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_function_unit = dec31_dec_sub15_dec31_dec_sub15_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_function_unit = dec31_dec_sub20_dec31_dec_sub20_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_function_unit = dec31_dec_sub21_dec31_dec_sub21_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_function_unit = dec31_dec_sub23_dec31_dec_sub23_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_function_unit = dec31_dec_sub16_dec31_dec_sub16_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_function_unit = dec31_dec_sub18_dec31_dec_sub18_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_function_unit = dec31_dec_sub8_dec31_dec_sub8_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_function_unit = dec31_dec_sub24_dec31_dec_sub24_function_unit;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_function_unit = dec31_dec_sub4_dec31_dec_sub4_function_unit;
     endcase
@@ -95519,60 +95519,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_internal_op = dec31_dec_sub10_dec31_dec_sub10_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_internal_op = dec31_dec_sub28_dec31_dec_sub28_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_internal_op = dec31_dec_sub0_dec31_dec_sub0_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_internal_op = dec31_dec_sub26_dec31_dec_sub26_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_internal_op = dec31_dec_sub19_dec31_dec_sub19_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_internal_op = dec31_dec_sub22_dec31_dec_sub22_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_internal_op = dec31_dec_sub9_dec31_dec_sub9_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_internal_op = dec31_dec_sub11_dec31_dec_sub11_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_internal_op = dec31_dec_sub27_dec31_dec_sub27_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_internal_op = dec31_dec_sub15_dec31_dec_sub15_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_internal_op = dec31_dec_sub20_dec31_dec_sub20_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_internal_op = dec31_dec_sub21_dec31_dec_sub21_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_internal_op = dec31_dec_sub23_dec31_dec_sub23_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_internal_op = dec31_dec_sub16_dec31_dec_sub16_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_internal_op = dec31_dec_sub18_dec31_dec_sub18_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_internal_op = dec31_dec_sub8_dec31_dec_sub8_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_internal_op = dec31_dec_sub24_dec31_dec_sub24_internal_op;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_internal_op = dec31_dec_sub4_dec31_dec_sub4_internal_op;
     endcase
@@ -95580,60 +95580,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_form = dec31_dec_sub10_dec31_dec_sub10_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_form = dec31_dec_sub28_dec31_dec_sub28_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_form = dec31_dec_sub0_dec31_dec_sub0_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_form = dec31_dec_sub26_dec31_dec_sub26_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_form = dec31_dec_sub19_dec31_dec_sub19_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_form = dec31_dec_sub22_dec31_dec_sub22_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_form = dec31_dec_sub9_dec31_dec_sub9_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_form = dec31_dec_sub11_dec31_dec_sub11_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_form = dec31_dec_sub27_dec31_dec_sub27_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_form = dec31_dec_sub15_dec31_dec_sub15_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_form = dec31_dec_sub20_dec31_dec_sub20_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_form = dec31_dec_sub21_dec31_dec_sub21_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_form = dec31_dec_sub23_dec31_dec_sub23_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_form = dec31_dec_sub16_dec31_dec_sub16_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_form = dec31_dec_sub18_dec31_dec_sub18_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_form = dec31_dec_sub8_dec31_dec_sub8_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_form = dec31_dec_sub24_dec31_dec_sub24_form;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_form = dec31_dec_sub4_dec31_dec_sub4_form;
     endcase
@@ -95641,60 +95641,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_asmcode = dec31_dec_sub10_dec31_dec_sub10_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_asmcode = dec31_dec_sub28_dec31_dec_sub28_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_asmcode = dec31_dec_sub0_dec31_dec_sub0_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_asmcode = dec31_dec_sub26_dec31_dec_sub26_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_asmcode = dec31_dec_sub19_dec31_dec_sub19_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_asmcode = dec31_dec_sub22_dec31_dec_sub22_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_asmcode = dec31_dec_sub9_dec31_dec_sub9_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_asmcode = dec31_dec_sub11_dec31_dec_sub11_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_asmcode = dec31_dec_sub27_dec31_dec_sub27_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_asmcode = dec31_dec_sub15_dec31_dec_sub15_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_asmcode = dec31_dec_sub20_dec31_dec_sub20_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_asmcode = dec31_dec_sub21_dec31_dec_sub21_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_asmcode = dec31_dec_sub23_dec31_dec_sub23_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_asmcode = dec31_dec_sub16_dec31_dec_sub16_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_asmcode = dec31_dec_sub18_dec31_dec_sub18_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_asmcode = dec31_dec_sub8_dec31_dec_sub8_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_asmcode = dec31_dec_sub24_dec31_dec_sub24_asmcode;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_asmcode = dec31_dec_sub4_dec31_dec_sub4_asmcode;
     endcase
@@ -95702,60 +95702,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_SV_Etype = dec31_dec_sub10_dec31_dec_sub10_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_SV_Etype = dec31_dec_sub28_dec31_dec_sub28_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_SV_Etype = dec31_dec_sub0_dec31_dec_sub0_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_SV_Etype = dec31_dec_sub26_dec31_dec_sub26_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_SV_Etype = dec31_dec_sub19_dec31_dec_sub19_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_SV_Etype = dec31_dec_sub22_dec31_dec_sub22_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_SV_Etype = dec31_dec_sub9_dec31_dec_sub9_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_SV_Etype = dec31_dec_sub11_dec31_dec_sub11_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_SV_Etype = dec31_dec_sub27_dec31_dec_sub27_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_SV_Etype = dec31_dec_sub15_dec31_dec_sub15_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_SV_Etype = dec31_dec_sub20_dec31_dec_sub20_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_SV_Etype = dec31_dec_sub21_dec31_dec_sub21_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_SV_Etype = dec31_dec_sub23_dec31_dec_sub23_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_SV_Etype = dec31_dec_sub16_dec31_dec_sub16_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_SV_Etype = dec31_dec_sub18_dec31_dec_sub18_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_SV_Etype = dec31_dec_sub8_dec31_dec_sub8_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_SV_Etype = dec31_dec_sub24_dec31_dec_sub24_SV_Etype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_SV_Etype = dec31_dec_sub4_dec31_dec_sub4_SV_Etype;
     endcase
@@ -95763,60 +95763,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_SV_Ptype = dec31_dec_sub10_dec31_dec_sub10_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_SV_Ptype = dec31_dec_sub28_dec31_dec_sub28_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_SV_Ptype = dec31_dec_sub0_dec31_dec_sub0_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_SV_Ptype = dec31_dec_sub26_dec31_dec_sub26_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_SV_Ptype = dec31_dec_sub19_dec31_dec_sub19_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_SV_Ptype = dec31_dec_sub22_dec31_dec_sub22_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_SV_Ptype = dec31_dec_sub9_dec31_dec_sub9_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_SV_Ptype = dec31_dec_sub11_dec31_dec_sub11_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_SV_Ptype = dec31_dec_sub27_dec31_dec_sub27_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_SV_Ptype = dec31_dec_sub15_dec31_dec_sub15_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_SV_Ptype = dec31_dec_sub20_dec31_dec_sub20_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_SV_Ptype = dec31_dec_sub21_dec31_dec_sub21_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_SV_Ptype = dec31_dec_sub23_dec31_dec_sub23_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_SV_Ptype = dec31_dec_sub16_dec31_dec_sub16_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_SV_Ptype = dec31_dec_sub18_dec31_dec_sub18_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_SV_Ptype = dec31_dec_sub8_dec31_dec_sub8_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_SV_Ptype = dec31_dec_sub24_dec31_dec_sub24_SV_Ptype;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_SV_Ptype = dec31_dec_sub4_dec31_dec_sub4_SV_Ptype;
     endcase
@@ -95824,60 +95824,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_in1_sel = dec31_dec_sub10_dec31_dec_sub10_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_in1_sel = dec31_dec_sub28_dec31_dec_sub28_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_in1_sel = dec31_dec_sub0_dec31_dec_sub0_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_in1_sel = dec31_dec_sub26_dec31_dec_sub26_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_in1_sel = dec31_dec_sub19_dec31_dec_sub19_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_in1_sel = dec31_dec_sub22_dec31_dec_sub22_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_in1_sel = dec31_dec_sub9_dec31_dec_sub9_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_in1_sel = dec31_dec_sub11_dec31_dec_sub11_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_in1_sel = dec31_dec_sub27_dec31_dec_sub27_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_in1_sel = dec31_dec_sub15_dec31_dec_sub15_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_in1_sel = dec31_dec_sub20_dec31_dec_sub20_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_in1_sel = dec31_dec_sub21_dec31_dec_sub21_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_in1_sel = dec31_dec_sub23_dec31_dec_sub23_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_in1_sel = dec31_dec_sub16_dec31_dec_sub16_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_in1_sel = dec31_dec_sub18_dec31_dec_sub18_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_in1_sel = dec31_dec_sub8_dec31_dec_sub8_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_in1_sel = dec31_dec_sub24_dec31_dec_sub24_in1_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_in1_sel = dec31_dec_sub4_dec31_dec_sub4_in1_sel;
     endcase
@@ -95885,60 +95885,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_in2_sel = dec31_dec_sub10_dec31_dec_sub10_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_in2_sel = dec31_dec_sub28_dec31_dec_sub28_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_in2_sel = dec31_dec_sub0_dec31_dec_sub0_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_in2_sel = dec31_dec_sub26_dec31_dec_sub26_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_in2_sel = dec31_dec_sub19_dec31_dec_sub19_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_in2_sel = dec31_dec_sub22_dec31_dec_sub22_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_in2_sel = dec31_dec_sub9_dec31_dec_sub9_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_in2_sel = dec31_dec_sub11_dec31_dec_sub11_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_in2_sel = dec31_dec_sub27_dec31_dec_sub27_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_in2_sel = dec31_dec_sub15_dec31_dec_sub15_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_in2_sel = dec31_dec_sub20_dec31_dec_sub20_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_in2_sel = dec31_dec_sub21_dec31_dec_sub21_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_in2_sel = dec31_dec_sub23_dec31_dec_sub23_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_in2_sel = dec31_dec_sub16_dec31_dec_sub16_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_in2_sel = dec31_dec_sub18_dec31_dec_sub18_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_in2_sel = dec31_dec_sub8_dec31_dec_sub8_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_in2_sel = dec31_dec_sub24_dec31_dec_sub24_in2_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_in2_sel = dec31_dec_sub4_dec31_dec_sub4_in2_sel;
     endcase
@@ -95946,60 +95946,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_in3_sel = dec31_dec_sub10_dec31_dec_sub10_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_in3_sel = dec31_dec_sub28_dec31_dec_sub28_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_in3_sel = dec31_dec_sub0_dec31_dec_sub0_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_in3_sel = dec31_dec_sub26_dec31_dec_sub26_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_in3_sel = dec31_dec_sub19_dec31_dec_sub19_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_in3_sel = dec31_dec_sub22_dec31_dec_sub22_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_in3_sel = dec31_dec_sub9_dec31_dec_sub9_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_in3_sel = dec31_dec_sub11_dec31_dec_sub11_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_in3_sel = dec31_dec_sub27_dec31_dec_sub27_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_in3_sel = dec31_dec_sub15_dec31_dec_sub15_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_in3_sel = dec31_dec_sub20_dec31_dec_sub20_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_in3_sel = dec31_dec_sub21_dec31_dec_sub21_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_in3_sel = dec31_dec_sub23_dec31_dec_sub23_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_in3_sel = dec31_dec_sub16_dec31_dec_sub16_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_in3_sel = dec31_dec_sub18_dec31_dec_sub18_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_in3_sel = dec31_dec_sub8_dec31_dec_sub8_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_in3_sel = dec31_dec_sub24_dec31_dec_sub24_in3_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_in3_sel = dec31_dec_sub4_dec31_dec_sub4_in3_sel;
     endcase
@@ -96007,60 +96007,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_out_sel = dec31_dec_sub10_dec31_dec_sub10_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_out_sel = dec31_dec_sub28_dec31_dec_sub28_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_out_sel = dec31_dec_sub0_dec31_dec_sub0_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_out_sel = dec31_dec_sub26_dec31_dec_sub26_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_out_sel = dec31_dec_sub19_dec31_dec_sub19_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_out_sel = dec31_dec_sub22_dec31_dec_sub22_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_out_sel = dec31_dec_sub9_dec31_dec_sub9_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_out_sel = dec31_dec_sub11_dec31_dec_sub11_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_out_sel = dec31_dec_sub27_dec31_dec_sub27_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_out_sel = dec31_dec_sub15_dec31_dec_sub15_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_out_sel = dec31_dec_sub20_dec31_dec_sub20_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_out_sel = dec31_dec_sub21_dec31_dec_sub21_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_out_sel = dec31_dec_sub23_dec31_dec_sub23_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_out_sel = dec31_dec_sub16_dec31_dec_sub16_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_out_sel = dec31_dec_sub18_dec31_dec_sub18_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_out_sel = dec31_dec_sub8_dec31_dec_sub8_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_out_sel = dec31_dec_sub24_dec31_dec_sub24_out_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_out_sel = dec31_dec_sub4_dec31_dec_sub4_out_sel;
     endcase
@@ -96068,60 +96068,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_cr_in = dec31_dec_sub10_dec31_dec_sub10_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_cr_in = dec31_dec_sub28_dec31_dec_sub28_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_cr_in = dec31_dec_sub0_dec31_dec_sub0_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_cr_in = dec31_dec_sub26_dec31_dec_sub26_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_cr_in = dec31_dec_sub19_dec31_dec_sub19_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_cr_in = dec31_dec_sub22_dec31_dec_sub22_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_cr_in = dec31_dec_sub9_dec31_dec_sub9_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_cr_in = dec31_dec_sub11_dec31_dec_sub11_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_cr_in = dec31_dec_sub27_dec31_dec_sub27_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_cr_in = dec31_dec_sub15_dec31_dec_sub15_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_cr_in = dec31_dec_sub20_dec31_dec_sub20_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_cr_in = dec31_dec_sub21_dec31_dec_sub21_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_cr_in = dec31_dec_sub23_dec31_dec_sub23_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_cr_in = dec31_dec_sub16_dec31_dec_sub16_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_cr_in = dec31_dec_sub18_dec31_dec_sub18_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_cr_in = dec31_dec_sub8_dec31_dec_sub8_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_cr_in = dec31_dec_sub24_dec31_dec_sub24_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_cr_in = dec31_dec_sub4_dec31_dec_sub4_cr_in;
     endcase
@@ -96129,60 +96129,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_cr_out = dec31_dec_sub10_dec31_dec_sub10_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_cr_out = dec31_dec_sub28_dec31_dec_sub28_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_cr_out = dec31_dec_sub0_dec31_dec_sub0_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_cr_out = dec31_dec_sub26_dec31_dec_sub26_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_cr_out = dec31_dec_sub19_dec31_dec_sub19_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_cr_out = dec31_dec_sub22_dec31_dec_sub22_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_cr_out = dec31_dec_sub9_dec31_dec_sub9_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_cr_out = dec31_dec_sub11_dec31_dec_sub11_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_cr_out = dec31_dec_sub27_dec31_dec_sub27_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_cr_out = dec31_dec_sub15_dec31_dec_sub15_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_cr_out = dec31_dec_sub20_dec31_dec_sub20_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_cr_out = dec31_dec_sub21_dec31_dec_sub21_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_cr_out = dec31_dec_sub23_dec31_dec_sub23_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_cr_out = dec31_dec_sub16_dec31_dec_sub16_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_cr_out = dec31_dec_sub18_dec31_dec_sub18_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_cr_out = dec31_dec_sub8_dec31_dec_sub8_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_cr_out = dec31_dec_sub24_dec31_dec_sub24_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_cr_out = dec31_dec_sub4_dec31_dec_sub4_cr_out;
     endcase
@@ -96190,60 +96190,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_in1 = dec31_dec_sub10_dec31_dec_sub10_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_in1 = dec31_dec_sub28_dec31_dec_sub28_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_in1 = dec31_dec_sub0_dec31_dec_sub0_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_in1 = dec31_dec_sub26_dec31_dec_sub26_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_in1 = dec31_dec_sub19_dec31_dec_sub19_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_in1 = dec31_dec_sub22_dec31_dec_sub22_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_in1 = dec31_dec_sub9_dec31_dec_sub9_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_in1 = dec31_dec_sub11_dec31_dec_sub11_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_in1 = dec31_dec_sub27_dec31_dec_sub27_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_in1 = dec31_dec_sub15_dec31_dec_sub15_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_in1 = dec31_dec_sub20_dec31_dec_sub20_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_in1 = dec31_dec_sub21_dec31_dec_sub21_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_in1 = dec31_dec_sub23_dec31_dec_sub23_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_in1 = dec31_dec_sub16_dec31_dec_sub16_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_in1 = dec31_dec_sub18_dec31_dec_sub18_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_in1 = dec31_dec_sub8_dec31_dec_sub8_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_in1 = dec31_dec_sub24_dec31_dec_sub24_sv_in1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_in1 = dec31_dec_sub4_dec31_dec_sub4_sv_in1;
     endcase
@@ -96251,60 +96251,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_in2 = dec31_dec_sub10_dec31_dec_sub10_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_in2 = dec31_dec_sub28_dec31_dec_sub28_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_in2 = dec31_dec_sub0_dec31_dec_sub0_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_in2 = dec31_dec_sub26_dec31_dec_sub26_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_in2 = dec31_dec_sub19_dec31_dec_sub19_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_in2 = dec31_dec_sub22_dec31_dec_sub22_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_in2 = dec31_dec_sub9_dec31_dec_sub9_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_in2 = dec31_dec_sub11_dec31_dec_sub11_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_in2 = dec31_dec_sub27_dec31_dec_sub27_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_in2 = dec31_dec_sub15_dec31_dec_sub15_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_in2 = dec31_dec_sub20_dec31_dec_sub20_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_in2 = dec31_dec_sub21_dec31_dec_sub21_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_in2 = dec31_dec_sub23_dec31_dec_sub23_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_in2 = dec31_dec_sub16_dec31_dec_sub16_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_in2 = dec31_dec_sub18_dec31_dec_sub18_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_in2 = dec31_dec_sub8_dec31_dec_sub8_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_in2 = dec31_dec_sub24_dec31_dec_sub24_sv_in2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_in2 = dec31_dec_sub4_dec31_dec_sub4_sv_in2;
     endcase
@@ -96312,60 +96312,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_in3 = dec31_dec_sub10_dec31_dec_sub10_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_in3 = dec31_dec_sub28_dec31_dec_sub28_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_in3 = dec31_dec_sub0_dec31_dec_sub0_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_in3 = dec31_dec_sub26_dec31_dec_sub26_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_in3 = dec31_dec_sub19_dec31_dec_sub19_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_in3 = dec31_dec_sub22_dec31_dec_sub22_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_in3 = dec31_dec_sub9_dec31_dec_sub9_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_in3 = dec31_dec_sub11_dec31_dec_sub11_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_in3 = dec31_dec_sub27_dec31_dec_sub27_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_in3 = dec31_dec_sub15_dec31_dec_sub15_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_in3 = dec31_dec_sub20_dec31_dec_sub20_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_in3 = dec31_dec_sub21_dec31_dec_sub21_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_in3 = dec31_dec_sub23_dec31_dec_sub23_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_in3 = dec31_dec_sub16_dec31_dec_sub16_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_in3 = dec31_dec_sub18_dec31_dec_sub18_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_in3 = dec31_dec_sub8_dec31_dec_sub8_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_in3 = dec31_dec_sub24_dec31_dec_sub24_sv_in3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_in3 = dec31_dec_sub4_dec31_dec_sub4_sv_in3;
     endcase
@@ -96373,60 +96373,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_out = dec31_dec_sub10_dec31_dec_sub10_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_out = dec31_dec_sub28_dec31_dec_sub28_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_out = dec31_dec_sub0_dec31_dec_sub0_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_out = dec31_dec_sub26_dec31_dec_sub26_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_out = dec31_dec_sub19_dec31_dec_sub19_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_out = dec31_dec_sub22_dec31_dec_sub22_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_out = dec31_dec_sub9_dec31_dec_sub9_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_out = dec31_dec_sub11_dec31_dec_sub11_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_out = dec31_dec_sub27_dec31_dec_sub27_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_out = dec31_dec_sub15_dec31_dec_sub15_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_out = dec31_dec_sub20_dec31_dec_sub20_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_out = dec31_dec_sub21_dec31_dec_sub21_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_out = dec31_dec_sub23_dec31_dec_sub23_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_out = dec31_dec_sub16_dec31_dec_sub16_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_out = dec31_dec_sub18_dec31_dec_sub18_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_out = dec31_dec_sub8_dec31_dec_sub8_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_out = dec31_dec_sub24_dec31_dec_sub24_sv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_out = dec31_dec_sub4_dec31_dec_sub4_sv_out;
     endcase
@@ -96434,60 +96434,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_out2 = dec31_dec_sub10_dec31_dec_sub10_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_out2 = dec31_dec_sub28_dec31_dec_sub28_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_out2 = dec31_dec_sub0_dec31_dec_sub0_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_out2 = dec31_dec_sub26_dec31_dec_sub26_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_out2 = dec31_dec_sub19_dec31_dec_sub19_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_out2 = dec31_dec_sub22_dec31_dec_sub22_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_out2 = dec31_dec_sub9_dec31_dec_sub9_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_out2 = dec31_dec_sub11_dec31_dec_sub11_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_out2 = dec31_dec_sub27_dec31_dec_sub27_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_out2 = dec31_dec_sub15_dec31_dec_sub15_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_out2 = dec31_dec_sub20_dec31_dec_sub20_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_out2 = dec31_dec_sub21_dec31_dec_sub21_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_out2 = dec31_dec_sub23_dec31_dec_sub23_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_out2 = dec31_dec_sub16_dec31_dec_sub16_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_out2 = dec31_dec_sub18_dec31_dec_sub18_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_out2 = dec31_dec_sub8_dec31_dec_sub8_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_out2 = dec31_dec_sub24_dec31_dec_sub24_sv_out2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_out2 = dec31_dec_sub4_dec31_dec_sub4_sv_out2;
     endcase
@@ -96495,60 +96495,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_cr_in = dec31_dec_sub10_dec31_dec_sub10_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_cr_in = dec31_dec_sub28_dec31_dec_sub28_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_cr_in = dec31_dec_sub0_dec31_dec_sub0_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_cr_in = dec31_dec_sub26_dec31_dec_sub26_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_cr_in = dec31_dec_sub19_dec31_dec_sub19_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_cr_in = dec31_dec_sub22_dec31_dec_sub22_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_cr_in = dec31_dec_sub9_dec31_dec_sub9_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_cr_in = dec31_dec_sub11_dec31_dec_sub11_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_cr_in = dec31_dec_sub27_dec31_dec_sub27_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_cr_in = dec31_dec_sub15_dec31_dec_sub15_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_cr_in = dec31_dec_sub20_dec31_dec_sub20_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_cr_in = dec31_dec_sub21_dec31_dec_sub21_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_cr_in = dec31_dec_sub23_dec31_dec_sub23_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_cr_in = dec31_dec_sub16_dec31_dec_sub16_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_cr_in = dec31_dec_sub18_dec31_dec_sub18_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_cr_in = dec31_dec_sub8_dec31_dec_sub8_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_cr_in = dec31_dec_sub24_dec31_dec_sub24_sv_cr_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_cr_in = dec31_dec_sub4_dec31_dec_sub4_sv_cr_in;
     endcase
@@ -96556,60 +96556,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sv_cr_out = dec31_dec_sub10_dec31_dec_sub10_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sv_cr_out = dec31_dec_sub28_dec31_dec_sub28_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sv_cr_out = dec31_dec_sub0_dec31_dec_sub0_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sv_cr_out = dec31_dec_sub26_dec31_dec_sub26_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sv_cr_out = dec31_dec_sub19_dec31_dec_sub19_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sv_cr_out = dec31_dec_sub22_dec31_dec_sub22_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sv_cr_out = dec31_dec_sub9_dec31_dec_sub9_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sv_cr_out = dec31_dec_sub11_dec31_dec_sub11_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sv_cr_out = dec31_dec_sub27_dec31_dec_sub27_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sv_cr_out = dec31_dec_sub15_dec31_dec_sub15_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sv_cr_out = dec31_dec_sub20_dec31_dec_sub20_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sv_cr_out = dec31_dec_sub21_dec31_dec_sub21_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sv_cr_out = dec31_dec_sub23_dec31_dec_sub23_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sv_cr_out = dec31_dec_sub16_dec31_dec_sub16_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sv_cr_out = dec31_dec_sub18_dec31_dec_sub18_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sv_cr_out = dec31_dec_sub8_dec31_dec_sub8_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sv_cr_out = dec31_dec_sub24_dec31_dec_sub24_sv_cr_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sv_cr_out = dec31_dec_sub4_dec31_dec_sub4_sv_cr_out;
     endcase
@@ -96617,60 +96617,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_ldst_len = dec31_dec_sub10_dec31_dec_sub10_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_ldst_len = dec31_dec_sub28_dec31_dec_sub28_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_ldst_len = dec31_dec_sub0_dec31_dec_sub0_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_ldst_len = dec31_dec_sub26_dec31_dec_sub26_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_ldst_len = dec31_dec_sub19_dec31_dec_sub19_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_ldst_len = dec31_dec_sub22_dec31_dec_sub22_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_ldst_len = dec31_dec_sub9_dec31_dec_sub9_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_ldst_len = dec31_dec_sub11_dec31_dec_sub11_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_ldst_len = dec31_dec_sub27_dec31_dec_sub27_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_ldst_len = dec31_dec_sub15_dec31_dec_sub15_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_ldst_len = dec31_dec_sub20_dec31_dec_sub20_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_ldst_len = dec31_dec_sub21_dec31_dec_sub21_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_ldst_len = dec31_dec_sub23_dec31_dec_sub23_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_ldst_len = dec31_dec_sub16_dec31_dec_sub16_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_ldst_len = dec31_dec_sub18_dec31_dec_sub18_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_ldst_len = dec31_dec_sub8_dec31_dec_sub8_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_ldst_len = dec31_dec_sub24_dec31_dec_sub24_ldst_len;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_ldst_len = dec31_dec_sub4_dec31_dec_sub4_ldst_len;
     endcase
@@ -96678,60 +96678,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_upd = dec31_dec_sub10_dec31_dec_sub10_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_upd = dec31_dec_sub28_dec31_dec_sub28_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_upd = dec31_dec_sub0_dec31_dec_sub0_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_upd = dec31_dec_sub26_dec31_dec_sub26_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_upd = dec31_dec_sub19_dec31_dec_sub19_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_upd = dec31_dec_sub22_dec31_dec_sub22_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_upd = dec31_dec_sub9_dec31_dec_sub9_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_upd = dec31_dec_sub11_dec31_dec_sub11_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_upd = dec31_dec_sub27_dec31_dec_sub27_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_upd = dec31_dec_sub15_dec31_dec_sub15_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_upd = dec31_dec_sub20_dec31_dec_sub20_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_upd = dec31_dec_sub21_dec31_dec_sub21_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_upd = dec31_dec_sub23_dec31_dec_sub23_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_upd = dec31_dec_sub16_dec31_dec_sub16_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_upd = dec31_dec_sub18_dec31_dec_sub18_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_upd = dec31_dec_sub8_dec31_dec_sub8_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_upd = dec31_dec_sub24_dec31_dec_sub24_upd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_upd = dec31_dec_sub4_dec31_dec_sub4_upd;
     endcase
@@ -96739,60 +96739,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_rc_sel = dec31_dec_sub10_dec31_dec_sub10_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_rc_sel = dec31_dec_sub28_dec31_dec_sub28_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_rc_sel = dec31_dec_sub0_dec31_dec_sub0_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_rc_sel = dec31_dec_sub26_dec31_dec_sub26_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_rc_sel = dec31_dec_sub19_dec31_dec_sub19_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_rc_sel = dec31_dec_sub22_dec31_dec_sub22_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_rc_sel = dec31_dec_sub9_dec31_dec_sub9_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_rc_sel = dec31_dec_sub11_dec31_dec_sub11_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_rc_sel = dec31_dec_sub27_dec31_dec_sub27_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_rc_sel = dec31_dec_sub15_dec31_dec_sub15_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_rc_sel = dec31_dec_sub20_dec31_dec_sub20_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_rc_sel = dec31_dec_sub21_dec31_dec_sub21_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_rc_sel = dec31_dec_sub23_dec31_dec_sub23_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_rc_sel = dec31_dec_sub16_dec31_dec_sub16_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_rc_sel = dec31_dec_sub18_dec31_dec_sub18_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_rc_sel = dec31_dec_sub8_dec31_dec_sub8_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_rc_sel = dec31_dec_sub24_dec31_dec_sub24_rc_sel;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_rc_sel = dec31_dec_sub4_dec31_dec_sub4_rc_sel;
     endcase
@@ -96800,60 +96800,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_cry_in = dec31_dec_sub10_dec31_dec_sub10_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_cry_in = dec31_dec_sub28_dec31_dec_sub28_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_cry_in = dec31_dec_sub0_dec31_dec_sub0_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_cry_in = dec31_dec_sub26_dec31_dec_sub26_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_cry_in = dec31_dec_sub19_dec31_dec_sub19_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_cry_in = dec31_dec_sub22_dec31_dec_sub22_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_cry_in = dec31_dec_sub9_dec31_dec_sub9_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_cry_in = dec31_dec_sub11_dec31_dec_sub11_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_cry_in = dec31_dec_sub27_dec31_dec_sub27_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_cry_in = dec31_dec_sub15_dec31_dec_sub15_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_cry_in = dec31_dec_sub20_dec31_dec_sub20_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_cry_in = dec31_dec_sub21_dec31_dec_sub21_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_cry_in = dec31_dec_sub23_dec31_dec_sub23_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_cry_in = dec31_dec_sub16_dec31_dec_sub16_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_cry_in = dec31_dec_sub18_dec31_dec_sub18_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_cry_in = dec31_dec_sub8_dec31_dec_sub8_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_cry_in = dec31_dec_sub24_dec31_dec_sub24_cry_in;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_cry_in = dec31_dec_sub4_dec31_dec_sub4_cry_in;
     endcase
@@ -96861,60 +96861,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_inv_a = dec31_dec_sub10_dec31_dec_sub10_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_inv_a = dec31_dec_sub28_dec31_dec_sub28_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_inv_a = dec31_dec_sub0_dec31_dec_sub0_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_inv_a = dec31_dec_sub26_dec31_dec_sub26_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_inv_a = dec31_dec_sub19_dec31_dec_sub19_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_inv_a = dec31_dec_sub22_dec31_dec_sub22_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_inv_a = dec31_dec_sub9_dec31_dec_sub9_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_inv_a = dec31_dec_sub11_dec31_dec_sub11_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_inv_a = dec31_dec_sub27_dec31_dec_sub27_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_inv_a = dec31_dec_sub15_dec31_dec_sub15_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_inv_a = dec31_dec_sub20_dec31_dec_sub20_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_inv_a = dec31_dec_sub21_dec31_dec_sub21_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_inv_a = dec31_dec_sub23_dec31_dec_sub23_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_inv_a = dec31_dec_sub16_dec31_dec_sub16_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_inv_a = dec31_dec_sub18_dec31_dec_sub18_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_inv_a = dec31_dec_sub8_dec31_dec_sub8_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_inv_a = dec31_dec_sub24_dec31_dec_sub24_inv_a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_inv_a = dec31_dec_sub4_dec31_dec_sub4_inv_a;
     endcase
@@ -96922,60 +96922,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_inv_out = dec31_dec_sub10_dec31_dec_sub10_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_inv_out = dec31_dec_sub28_dec31_dec_sub28_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_inv_out = dec31_dec_sub0_dec31_dec_sub0_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_inv_out = dec31_dec_sub26_dec31_dec_sub26_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_inv_out = dec31_dec_sub19_dec31_dec_sub19_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_inv_out = dec31_dec_sub22_dec31_dec_sub22_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_inv_out = dec31_dec_sub9_dec31_dec_sub9_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_inv_out = dec31_dec_sub11_dec31_dec_sub11_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_inv_out = dec31_dec_sub27_dec31_dec_sub27_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_inv_out = dec31_dec_sub15_dec31_dec_sub15_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_inv_out = dec31_dec_sub20_dec31_dec_sub20_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_inv_out = dec31_dec_sub21_dec31_dec_sub21_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_inv_out = dec31_dec_sub23_dec31_dec_sub23_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_inv_out = dec31_dec_sub16_dec31_dec_sub16_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_inv_out = dec31_dec_sub18_dec31_dec_sub18_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_inv_out = dec31_dec_sub8_dec31_dec_sub8_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_inv_out = dec31_dec_sub24_dec31_dec_sub24_inv_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_inv_out = dec31_dec_sub4_dec31_dec_sub4_inv_out;
     endcase
@@ -96983,60 +96983,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_cry_out = dec31_dec_sub10_dec31_dec_sub10_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_cry_out = dec31_dec_sub28_dec31_dec_sub28_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_cry_out = dec31_dec_sub0_dec31_dec_sub0_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_cry_out = dec31_dec_sub26_dec31_dec_sub26_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_cry_out = dec31_dec_sub19_dec31_dec_sub19_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_cry_out = dec31_dec_sub22_dec31_dec_sub22_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_cry_out = dec31_dec_sub9_dec31_dec_sub9_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_cry_out = dec31_dec_sub11_dec31_dec_sub11_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_cry_out = dec31_dec_sub27_dec31_dec_sub27_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_cry_out = dec31_dec_sub15_dec31_dec_sub15_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_cry_out = dec31_dec_sub20_dec31_dec_sub20_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_cry_out = dec31_dec_sub21_dec31_dec_sub21_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_cry_out = dec31_dec_sub23_dec31_dec_sub23_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_cry_out = dec31_dec_sub16_dec31_dec_sub16_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_cry_out = dec31_dec_sub18_dec31_dec_sub18_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_cry_out = dec31_dec_sub8_dec31_dec_sub8_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_cry_out = dec31_dec_sub24_dec31_dec_sub24_cry_out;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_cry_out = dec31_dec_sub4_dec31_dec_sub4_cry_out;
     endcase
@@ -97044,60 +97044,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_br = dec31_dec_sub10_dec31_dec_sub10_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_br = dec31_dec_sub28_dec31_dec_sub28_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_br = dec31_dec_sub0_dec31_dec_sub0_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_br = dec31_dec_sub26_dec31_dec_sub26_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_br = dec31_dec_sub19_dec31_dec_sub19_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_br = dec31_dec_sub22_dec31_dec_sub22_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_br = dec31_dec_sub9_dec31_dec_sub9_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_br = dec31_dec_sub11_dec31_dec_sub11_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_br = dec31_dec_sub27_dec31_dec_sub27_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_br = dec31_dec_sub15_dec31_dec_sub15_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_br = dec31_dec_sub20_dec31_dec_sub20_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_br = dec31_dec_sub21_dec31_dec_sub21_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_br = dec31_dec_sub23_dec31_dec_sub23_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_br = dec31_dec_sub16_dec31_dec_sub16_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_br = dec31_dec_sub18_dec31_dec_sub18_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_br = dec31_dec_sub8_dec31_dec_sub8_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_br = dec31_dec_sub24_dec31_dec_sub24_br;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_br = dec31_dec_sub4_dec31_dec_sub4_br;
     endcase
@@ -97105,60 +97105,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sgn_ext = dec31_dec_sub10_dec31_dec_sub10_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sgn_ext = dec31_dec_sub28_dec31_dec_sub28_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sgn_ext = dec31_dec_sub0_dec31_dec_sub0_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sgn_ext = dec31_dec_sub26_dec31_dec_sub26_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sgn_ext = dec31_dec_sub19_dec31_dec_sub19_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sgn_ext = dec31_dec_sub22_dec31_dec_sub22_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sgn_ext = dec31_dec_sub9_dec31_dec_sub9_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sgn_ext = dec31_dec_sub11_dec31_dec_sub11_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sgn_ext = dec31_dec_sub27_dec31_dec_sub27_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sgn_ext = dec31_dec_sub15_dec31_dec_sub15_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sgn_ext = dec31_dec_sub20_dec31_dec_sub20_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sgn_ext = dec31_dec_sub21_dec31_dec_sub21_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sgn_ext = dec31_dec_sub23_dec31_dec_sub23_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sgn_ext = dec31_dec_sub16_dec31_dec_sub16_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sgn_ext = dec31_dec_sub18_dec31_dec_sub18_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sgn_ext = dec31_dec_sub8_dec31_dec_sub8_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sgn_ext = dec31_dec_sub24_dec31_dec_sub24_sgn_ext;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sgn_ext = dec31_dec_sub4_dec31_dec_sub4_sgn_ext;
     endcase
@@ -97166,60 +97166,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_rsrv = dec31_dec_sub10_dec31_dec_sub10_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_rsrv = dec31_dec_sub28_dec31_dec_sub28_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_rsrv = dec31_dec_sub0_dec31_dec_sub0_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_rsrv = dec31_dec_sub26_dec31_dec_sub26_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_rsrv = dec31_dec_sub19_dec31_dec_sub19_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_rsrv = dec31_dec_sub22_dec31_dec_sub22_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_rsrv = dec31_dec_sub9_dec31_dec_sub9_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_rsrv = dec31_dec_sub11_dec31_dec_sub11_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_rsrv = dec31_dec_sub27_dec31_dec_sub27_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_rsrv = dec31_dec_sub15_dec31_dec_sub15_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_rsrv = dec31_dec_sub20_dec31_dec_sub20_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_rsrv = dec31_dec_sub21_dec31_dec_sub21_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_rsrv = dec31_dec_sub23_dec31_dec_sub23_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_rsrv = dec31_dec_sub16_dec31_dec_sub16_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_rsrv = dec31_dec_sub18_dec31_dec_sub18_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_rsrv = dec31_dec_sub8_dec31_dec_sub8_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_rsrv = dec31_dec_sub24_dec31_dec_sub24_rsrv;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_rsrv = dec31_dec_sub4_dec31_dec_sub4_rsrv;
     endcase
@@ -97227,60 +97227,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_is_32b = dec31_dec_sub10_dec31_dec_sub10_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_is_32b = dec31_dec_sub28_dec31_dec_sub28_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_is_32b = dec31_dec_sub0_dec31_dec_sub0_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_is_32b = dec31_dec_sub26_dec31_dec_sub26_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_is_32b = dec31_dec_sub19_dec31_dec_sub19_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_is_32b = dec31_dec_sub22_dec31_dec_sub22_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_is_32b = dec31_dec_sub9_dec31_dec_sub9_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_is_32b = dec31_dec_sub11_dec31_dec_sub11_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_is_32b = dec31_dec_sub27_dec31_dec_sub27_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_is_32b = dec31_dec_sub15_dec31_dec_sub15_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_is_32b = dec31_dec_sub20_dec31_dec_sub20_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_is_32b = dec31_dec_sub21_dec31_dec_sub21_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_is_32b = dec31_dec_sub23_dec31_dec_sub23_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_is_32b = dec31_dec_sub16_dec31_dec_sub16_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_is_32b = dec31_dec_sub18_dec31_dec_sub18_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_is_32b = dec31_dec_sub8_dec31_dec_sub8_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_is_32b = dec31_dec_sub24_dec31_dec_sub24_is_32b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_is_32b = dec31_dec_sub4_dec31_dec_sub4_is_32b;
     endcase
@@ -97288,60 +97288,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sgn = dec31_dec_sub10_dec31_dec_sub10_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sgn = dec31_dec_sub28_dec31_dec_sub28_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sgn = dec31_dec_sub0_dec31_dec_sub0_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sgn = dec31_dec_sub26_dec31_dec_sub26_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sgn = dec31_dec_sub19_dec31_dec_sub19_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sgn = dec31_dec_sub22_dec31_dec_sub22_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sgn = dec31_dec_sub9_dec31_dec_sub9_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sgn = dec31_dec_sub11_dec31_dec_sub11_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sgn = dec31_dec_sub27_dec31_dec_sub27_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sgn = dec31_dec_sub15_dec31_dec_sub15_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sgn = dec31_dec_sub20_dec31_dec_sub20_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sgn = dec31_dec_sub21_dec31_dec_sub21_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sgn = dec31_dec_sub23_dec31_dec_sub23_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sgn = dec31_dec_sub16_dec31_dec_sub16_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sgn = dec31_dec_sub18_dec31_dec_sub18_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sgn = dec31_dec_sub8_dec31_dec_sub8_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sgn = dec31_dec_sub24_dec31_dec_sub24_sgn;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sgn = dec31_dec_sub4_dec31_dec_sub4_sgn;
     endcase
@@ -97349,60 +97349,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_lk = dec31_dec_sub10_dec31_dec_sub10_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_lk = dec31_dec_sub28_dec31_dec_sub28_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_lk = dec31_dec_sub0_dec31_dec_sub0_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_lk = dec31_dec_sub26_dec31_dec_sub26_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_lk = dec31_dec_sub19_dec31_dec_sub19_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_lk = dec31_dec_sub22_dec31_dec_sub22_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_lk = dec31_dec_sub9_dec31_dec_sub9_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_lk = dec31_dec_sub11_dec31_dec_sub11_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_lk = dec31_dec_sub27_dec31_dec_sub27_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_lk = dec31_dec_sub15_dec31_dec_sub15_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_lk = dec31_dec_sub20_dec31_dec_sub20_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_lk = dec31_dec_sub21_dec31_dec_sub21_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_lk = dec31_dec_sub23_dec31_dec_sub23_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_lk = dec31_dec_sub16_dec31_dec_sub16_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_lk = dec31_dec_sub18_dec31_dec_sub18_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_lk = dec31_dec_sub8_dec31_dec_sub8_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_lk = dec31_dec_sub24_dec31_dec_sub24_lk;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_lk = dec31_dec_sub4_dec31_dec_sub4_lk;
     endcase
@@ -97410,60 +97410,60 @@ module dec31(dec31_function_unit, dec31_internal_op, dec31_form, dec31_asmcode,
   always @* begin
     if (\initial ) begin end
     dec31_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opc_in)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_sgl_pipe = dec31_dec_sub10_dec31_dec_sub10_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_sgl_pipe = dec31_dec_sub28_dec31_dec_sub28_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_sgl_pipe = dec31_dec_sub0_dec31_dec_sub0_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_sgl_pipe = dec31_dec_sub26_dec31_dec_sub26_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_sgl_pipe = dec31_dec_sub19_dec31_dec_sub19_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_sgl_pipe = dec31_dec_sub22_dec31_dec_sub22_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_sgl_pipe = dec31_dec_sub9_dec31_dec_sub9_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_sgl_pipe = dec31_dec_sub11_dec31_dec_sub11_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_sgl_pipe = dec31_dec_sub27_dec31_dec_sub27_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_sgl_pipe = dec31_dec_sub15_dec31_dec_sub15_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_sgl_pipe = dec31_dec_sub20_dec31_dec_sub20_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_sgl_pipe = dec31_dec_sub21_dec31_dec_sub21_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_sgl_pipe = dec31_dec_sub23_dec31_dec_sub23_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_sgl_pipe = dec31_dec_sub16_dec31_dec_sub16_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_sgl_pipe = dec31_dec_sub18_dec31_dec_sub18_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_sgl_pipe = dec31_dec_sub8_dec31_dec_sub8_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_sgl_pipe = dec31_dec_sub24_dec31_dec_sub24_sgl_pipe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_sgl_pipe = dec31_dec_sub4_dec31_dec_sub4_sgl_pipe;
     endcase
@@ -97498,20 +97498,20 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub0_SV_Etype;
   reg [1:0] dec31_dec_sub0_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub0_SV_Ptype;
   reg [1:0] dec31_dec_sub0_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub0_asmcode;
   reg [7:0] dec31_dec_sub0_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_br;
   reg dec31_dec_sub0_br;
   (* enum_base_type = "CRInSel" *)
@@ -97523,7 +97523,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_cr_in;
   reg [2:0] dec31_dec_sub0_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -97533,17 +97533,17 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_cr_out;
   reg [2:0] dec31_dec_sub0_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub0_cry_in;
   reg [1:0] dec31_dec_sub0_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_cry_out;
   reg dec31_dec_sub0_cry_out;
   (* enum_base_type = "Form" *)
@@ -97577,7 +97577,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub0_form;
   reg [4:0] dec31_dec_sub0_form;
   (* enum_base_type = "Function" *)
@@ -97596,7 +97596,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub0_function_unit;
   reg [14:0] dec31_dec_sub0_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -97607,7 +97607,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_in1_sel;
   reg [2:0] dec31_dec_sub0_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -97626,7 +97626,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub0_in2_sel;
   reg [3:0] dec31_dec_sub0_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -97635,7 +97635,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_in3_sel;
   reg [2:0] dec31_dec_sub0_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -97715,16 +97715,16 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub0_internal_op;
   reg [6:0] dec31_dec_sub0_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_inv_a;
   reg dec31_dec_sub0_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_inv_out;
   reg dec31_dec_sub0_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_is_32b;
   reg dec31_dec_sub0_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -97733,10 +97733,10 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub0_ldst_len;
   reg [3:0] dec31_dec_sub0_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_lk;
   reg dec31_dec_sub0_lk;
   (* enum_base_type = "OutSel" *)
@@ -97746,26 +97746,26 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_out_sel;
   reg [2:0] dec31_dec_sub0_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub0_rc_sel;
   reg [1:0] dec31_dec_sub0_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_rsrv;
   reg dec31_dec_sub0_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_sgl_pipe;
   reg dec31_dec_sub0_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_sgn;
   reg dec31_dec_sub0_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub0_sgn_ext;
   reg dec31_dec_sub0_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -97775,7 +97775,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_cr_in;
   reg [2:0] dec31_dec_sub0_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -97785,7 +97785,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_cr_out;
   reg [2:0] dec31_dec_sub0_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -97795,7 +97795,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_in1;
   reg [2:0] dec31_dec_sub0_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -97805,7 +97805,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_in2;
   reg [2:0] dec31_dec_sub0_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -97815,7 +97815,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_in3;
   reg [2:0] dec31_dec_sub0_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -97825,7 +97825,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_out;
   reg [2:0] dec31_dec_sub0_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -97835,7 +97835,7 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub0_sv_out2;
   reg [2:0] dec31_dec_sub0_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -97843,28 +97843,28 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub0_upd;
   reg [1:0] dec31_dec_sub0_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_function_unit = 15'h0040;
     endcase
@@ -97872,18 +97872,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_cr_in = 3'h3;
     endcase
@@ -97891,18 +97891,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_cr_out = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_cr_out = 3'h0;
     endcase
@@ -97910,18 +97910,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_in1 = 3'h0;
     endcase
@@ -97929,18 +97929,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_in2 = 3'h0;
     endcase
@@ -97948,18 +97948,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_in3 = 3'h0;
     endcase
@@ -97967,18 +97967,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_out = 3'h1;
     endcase
@@ -97986,18 +97986,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_out2 = 3'h0;
     endcase
@@ -98005,18 +98005,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_cr_in = 3'h2;
     endcase
@@ -98024,18 +98024,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sv_cr_out = 3'h0;
     endcase
@@ -98043,18 +98043,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_ldst_len = 4'h0;
     endcase
@@ -98062,18 +98062,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_internal_op = 7'h0c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_internal_op = 7'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_internal_op = 7'h3b;
     endcase
@@ -98081,18 +98081,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_upd = 2'h0;
     endcase
@@ -98100,18 +98100,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_rc_sel = 2'h0;
     endcase
@@ -98119,18 +98119,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_cry_in = 2'h0;
     endcase
@@ -98138,18 +98138,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_asmcode = 8'h1a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_asmcode = 8'h1c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_asmcode = 8'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_asmcode = 8'hbb;
     endcase
@@ -98157,18 +98157,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_inv_a = 1'h0;
     endcase
@@ -98176,18 +98176,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_inv_out = 1'h0;
     endcase
@@ -98195,18 +98195,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_cry_out = 1'h0;
     endcase
@@ -98214,18 +98214,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_br = 1'h0;
     endcase
@@ -98233,18 +98233,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sgn_ext = 1'h0;
     endcase
@@ -98252,18 +98252,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_rsrv = 1'h0;
     endcase
@@ -98271,18 +98271,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_form = 5'h18;
     endcase
@@ -98290,18 +98290,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_is_32b = 1'h0;
     endcase
@@ -98309,18 +98309,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sgn = 1'h0;
     endcase
@@ -98328,18 +98328,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_lk = 1'h0;
     endcase
@@ -98347,18 +98347,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_sgl_pipe = 1'h0;
     endcase
@@ -98366,18 +98366,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_SV_Etype = 2'h2;
     endcase
@@ -98385,18 +98385,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_SV_Ptype = 2'h2;
     endcase
@@ -98404,18 +98404,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_in1_sel = 3'h0;
     endcase
@@ -98423,18 +98423,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_in2_sel = 4'h0;
     endcase
@@ -98442,18 +98442,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_in3_sel = 3'h0;
     endcase
@@ -98461,18 +98461,18 @@ module dec31_dec_sub0(dec31_dec_sub0_function_unit, dec31_dec_sub0_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub0_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub0_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub0_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub0_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub0_out_sel = 3'h1;
     endcase
@@ -98488,20 +98488,20 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub10_SV_Etype;
   reg [1:0] dec31_dec_sub10_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub10_SV_Ptype;
   reg [1:0] dec31_dec_sub10_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub10_asmcode;
   reg [7:0] dec31_dec_sub10_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_br;
   reg dec31_dec_sub10_br;
   (* enum_base_type = "CRInSel" *)
@@ -98513,7 +98513,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_cr_in;
   reg [2:0] dec31_dec_sub10_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -98523,17 +98523,17 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_cr_out;
   reg [2:0] dec31_dec_sub10_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub10_cry_in;
   reg [1:0] dec31_dec_sub10_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_cry_out;
   reg dec31_dec_sub10_cry_out;
   (* enum_base_type = "Form" *)
@@ -98567,7 +98567,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub10_form;
   reg [4:0] dec31_dec_sub10_form;
   (* enum_base_type = "Function" *)
@@ -98586,7 +98586,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub10_function_unit;
   reg [14:0] dec31_dec_sub10_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -98597,7 +98597,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_in1_sel;
   reg [2:0] dec31_dec_sub10_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -98616,7 +98616,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub10_in2_sel;
   reg [3:0] dec31_dec_sub10_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -98625,7 +98625,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_in3_sel;
   reg [2:0] dec31_dec_sub10_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -98705,16 +98705,16 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub10_internal_op;
   reg [6:0] dec31_dec_sub10_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_inv_a;
   reg dec31_dec_sub10_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_inv_out;
   reg dec31_dec_sub10_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_is_32b;
   reg dec31_dec_sub10_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -98723,10 +98723,10 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub10_ldst_len;
   reg [3:0] dec31_dec_sub10_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_lk;
   reg dec31_dec_sub10_lk;
   (* enum_base_type = "OutSel" *)
@@ -98736,26 +98736,26 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_out_sel;
   reg [2:0] dec31_dec_sub10_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub10_rc_sel;
   reg [1:0] dec31_dec_sub10_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_rsrv;
   reg dec31_dec_sub10_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_sgl_pipe;
   reg dec31_dec_sub10_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_sgn;
   reg dec31_dec_sub10_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub10_sgn_ext;
   reg dec31_dec_sub10_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -98765,7 +98765,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_cr_in;
   reg [2:0] dec31_dec_sub10_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -98775,7 +98775,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_cr_out;
   reg [2:0] dec31_dec_sub10_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -98785,7 +98785,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_in1;
   reg [2:0] dec31_dec_sub10_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -98795,7 +98795,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_in2;
   reg [2:0] dec31_dec_sub10_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -98805,7 +98805,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_in3;
   reg [2:0] dec31_dec_sub10_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -98815,7 +98815,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_out;
   reg [2:0] dec31_dec_sub10_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -98825,7 +98825,7 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub10_sv_out2;
   reg [2:0] dec31_dec_sub10_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -98833,46 +98833,46 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub10_upd;
   reg [1:0] dec31_dec_sub10_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_function_unit = 15'h0002;
     endcase
@@ -98880,36 +98880,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_cr_in = 3'h0;
     endcase
@@ -98917,36 +98917,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_cr_out = 3'h1;
     endcase
@@ -98954,36 +98954,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_in1 = 3'h2;
     endcase
@@ -98991,36 +98991,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_in2 = 3'h0;
     endcase
@@ -99028,36 +99028,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_in3 = 3'h0;
     endcase
@@ -99065,36 +99065,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_out = 3'h1;
     endcase
@@ -99102,36 +99102,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_out2 = 3'h0;
     endcase
@@ -99139,36 +99139,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_cr_in = 3'h0;
     endcase
@@ -99176,36 +99176,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sv_cr_out = 3'h1;
     endcase
@@ -99213,36 +99213,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_ldst_len = 4'h0;
     endcase
@@ -99250,36 +99250,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_internal_op = 7'h02;
     endcase
@@ -99287,36 +99287,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_upd = 2'h0;
     endcase
@@ -99324,36 +99324,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_rc_sel = 2'h2;
     endcase
@@ -99361,36 +99361,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_cry_in = 2'h2;
     endcase
@@ -99398,36 +99398,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_asmcode = 8'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_asmcode = 8'h0c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_asmcode = 8'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_asmcode = 8'h03;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_asmcode = 8'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_asmcode = 8'h05;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_asmcode = 8'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_asmcode = 8'h0b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_asmcode = 8'h0d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_asmcode = 8'h0e;
     endcase
@@ -99435,36 +99435,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_inv_a = 1'h0;
     endcase
@@ -99472,36 +99472,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_inv_out = 1'h0;
     endcase
@@ -99509,36 +99509,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_cry_out = 1'h1;
     endcase
@@ -99546,36 +99546,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_br = 1'h0;
     endcase
@@ -99583,36 +99583,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sgn_ext = 1'h0;
     endcase
@@ -99620,36 +99620,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_rsrv = 1'h0;
     endcase
@@ -99657,36 +99657,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_form = 5'h11;
     endcase
@@ -99694,36 +99694,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_is_32b = 1'h0;
     endcase
@@ -99731,36 +99731,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sgn = 1'h0;
     endcase
@@ -99768,36 +99768,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_lk = 1'h0;
     endcase
@@ -99805,36 +99805,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_sgl_pipe = 1'h0;
     endcase
@@ -99842,36 +99842,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_SV_Etype = 2'h2;
     endcase
@@ -99879,36 +99879,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_SV_Ptype = 2'h2;
     endcase
@@ -99916,36 +99916,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_in1_sel = 3'h1;
     endcase
@@ -99953,36 +99953,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_in2_sel = 4'h0;
     endcase
@@ -99990,36 +99990,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_in3_sel = 3'h0;
     endcase
@@ -100027,36 +100027,36 @@ module dec31_dec_sub10(dec31_dec_sub10_function_unit, dec31_dec_sub10_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub10_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub10_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub10_out_sel = 3'h1;
     endcase
@@ -100072,20 +100072,20 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub11_SV_Etype;
   reg [1:0] dec31_dec_sub11_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub11_SV_Ptype;
   reg [1:0] dec31_dec_sub11_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub11_asmcode;
   reg [7:0] dec31_dec_sub11_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_br;
   reg dec31_dec_sub11_br;
   (* enum_base_type = "CRInSel" *)
@@ -100097,7 +100097,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_cr_in;
   reg [2:0] dec31_dec_sub11_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -100107,17 +100107,17 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_cr_out;
   reg [2:0] dec31_dec_sub11_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub11_cry_in;
   reg [1:0] dec31_dec_sub11_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_cry_out;
   reg dec31_dec_sub11_cry_out;
   (* enum_base_type = "Form" *)
@@ -100151,7 +100151,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub11_form;
   reg [4:0] dec31_dec_sub11_form;
   (* enum_base_type = "Function" *)
@@ -100170,7 +100170,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub11_function_unit;
   reg [14:0] dec31_dec_sub11_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -100181,7 +100181,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_in1_sel;
   reg [2:0] dec31_dec_sub11_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -100200,7 +100200,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub11_in2_sel;
   reg [3:0] dec31_dec_sub11_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -100209,7 +100209,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_in3_sel;
   reg [2:0] dec31_dec_sub11_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -100289,16 +100289,16 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub11_internal_op;
   reg [6:0] dec31_dec_sub11_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_inv_a;
   reg dec31_dec_sub11_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_inv_out;
   reg dec31_dec_sub11_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_is_32b;
   reg dec31_dec_sub11_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -100307,10 +100307,10 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub11_ldst_len;
   reg [3:0] dec31_dec_sub11_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_lk;
   reg dec31_dec_sub11_lk;
   (* enum_base_type = "OutSel" *)
@@ -100320,26 +100320,26 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_out_sel;
   reg [2:0] dec31_dec_sub11_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub11_rc_sel;
   reg [1:0] dec31_dec_sub11_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_rsrv;
   reg dec31_dec_sub11_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_sgl_pipe;
   reg dec31_dec_sub11_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_sgn;
   reg dec31_dec_sub11_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub11_sgn_ext;
   reg dec31_dec_sub11_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -100349,7 +100349,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_cr_in;
   reg [2:0] dec31_dec_sub11_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -100359,7 +100359,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_cr_out;
   reg [2:0] dec31_dec_sub11_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -100369,7 +100369,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_in1;
   reg [2:0] dec31_dec_sub11_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -100379,7 +100379,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_in2;
   reg [2:0] dec31_dec_sub11_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -100389,7 +100389,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_in3;
   reg [2:0] dec31_dec_sub11_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -100399,7 +100399,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_out;
   reg [2:0] dec31_dec_sub11_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -100409,7 +100409,7 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub11_sv_out2;
   reg [2:0] dec31_dec_sub11_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -100417,64 +100417,64 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub11_upd;
   reg [1:0] dec31_dec_sub11_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_function_unit = 15'h0100;
     endcase
@@ -100482,54 +100482,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_cr_in = 3'h0;
     endcase
@@ -100537,54 +100537,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_cr_out = 3'h1;
     endcase
@@ -100592,54 +100592,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_in1 = 3'h2;
     endcase
@@ -100647,54 +100647,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_in2 = 3'h3;
     endcase
@@ -100702,54 +100702,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_in3 = 3'h0;
     endcase
@@ -100757,54 +100757,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_out = 3'h1;
     endcase
@@ -100812,54 +100812,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_out2 = 3'h0;
     endcase
@@ -100867,54 +100867,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_cr_in = 3'h0;
     endcase
@@ -100922,54 +100922,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sv_cr_out = 3'h1;
     endcase
@@ -100977,54 +100977,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_ldst_len = 4'h0;
     endcase
@@ -101032,54 +101032,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_internal_op = 7'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_internal_op = 7'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_internal_op = 7'h32;
     endcase
@@ -101087,54 +101087,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_upd = 2'h0;
     endcase
@@ -101142,54 +101142,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_rc_sel = 2'h2;
     endcase
@@ -101197,54 +101197,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_cry_in = 2'h0;
     endcase
@@ -101252,54 +101252,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_asmcode = 8'h3e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_asmcode = 8'h3f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_asmcode = 8'h3c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_asmcode = 8'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_asmcode = 8'h41;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_asmcode = 8'h42;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_asmcode = 8'h3b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_asmcode = 8'h40;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_asmcode = 8'h95;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_asmcode = 8'h93;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_asmcode = 8'h9c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_asmcode = 8'h9d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_asmcode = 8'h9c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_asmcode = 8'h9d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_asmcode = 8'ha1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_asmcode = 8'ha2;
     endcase
@@ -101307,54 +101307,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_inv_a = 1'h0;
     endcase
@@ -101362,54 +101362,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_inv_out = 1'h0;
     endcase
@@ -101417,54 +101417,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_cry_out = 1'h0;
     endcase
@@ -101472,54 +101472,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_br = 1'h0;
     endcase
@@ -101527,54 +101527,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sgn_ext = 1'h0;
     endcase
@@ -101582,54 +101582,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_rsrv = 1'h0;
     endcase
@@ -101637,54 +101637,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_form = 5'h11;
     endcase
@@ -101692,54 +101692,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_is_32b = 1'h1;
     endcase
@@ -101747,54 +101747,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sgn = 1'h1;
     endcase
@@ -101802,54 +101802,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_lk = 1'h0;
     endcase
@@ -101857,54 +101857,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_sgl_pipe = 1'h0;
     endcase
@@ -101912,54 +101912,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_SV_Etype = 2'h2;
     endcase
@@ -101967,54 +101967,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_SV_Ptype = 2'h1;
     endcase
@@ -102022,54 +102022,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_in1_sel = 3'h1;
     endcase
@@ -102077,54 +102077,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_in2_sel = 4'h1;
     endcase
@@ -102132,54 +102132,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_in3_sel = 3'h0;
     endcase
@@ -102187,54 +102187,54 @@ module dec31_dec_sub11(dec31_dec_sub11_function_unit, dec31_dec_sub11_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub11_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub11_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub11_out_sel = 3'h1;
     endcase
@@ -102250,20 +102250,20 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub15_SV_Etype;
   reg [1:0] dec31_dec_sub15_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub15_SV_Ptype;
   reg [1:0] dec31_dec_sub15_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub15_asmcode;
   reg [7:0] dec31_dec_sub15_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_br;
   reg dec31_dec_sub15_br;
   (* enum_base_type = "CRInSel" *)
@@ -102275,7 +102275,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_cr_in;
   reg [2:0] dec31_dec_sub15_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -102285,17 +102285,17 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_cr_out;
   reg [2:0] dec31_dec_sub15_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub15_cry_in;
   reg [1:0] dec31_dec_sub15_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_cry_out;
   reg dec31_dec_sub15_cry_out;
   (* enum_base_type = "Form" *)
@@ -102329,7 +102329,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub15_form;
   reg [4:0] dec31_dec_sub15_form;
   (* enum_base_type = "Function" *)
@@ -102348,7 +102348,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub15_function_unit;
   reg [14:0] dec31_dec_sub15_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -102359,7 +102359,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_in1_sel;
   reg [2:0] dec31_dec_sub15_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -102378,7 +102378,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub15_in2_sel;
   reg [3:0] dec31_dec_sub15_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -102387,7 +102387,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_in3_sel;
   reg [2:0] dec31_dec_sub15_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -102467,16 +102467,16 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub15_internal_op;
   reg [6:0] dec31_dec_sub15_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_inv_a;
   reg dec31_dec_sub15_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_inv_out;
   reg dec31_dec_sub15_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_is_32b;
   reg dec31_dec_sub15_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -102485,10 +102485,10 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub15_ldst_len;
   reg [3:0] dec31_dec_sub15_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_lk;
   reg dec31_dec_sub15_lk;
   (* enum_base_type = "OutSel" *)
@@ -102498,26 +102498,26 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_out_sel;
   reg [2:0] dec31_dec_sub15_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub15_rc_sel;
   reg [1:0] dec31_dec_sub15_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_rsrv;
   reg dec31_dec_sub15_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_sgl_pipe;
   reg dec31_dec_sub15_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_sgn;
   reg dec31_dec_sub15_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub15_sgn_ext;
   reg dec31_dec_sub15_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -102527,7 +102527,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_cr_in;
   reg [2:0] dec31_dec_sub15_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -102537,7 +102537,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_cr_out;
   reg [2:0] dec31_dec_sub15_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -102547,7 +102547,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_in1;
   reg [2:0] dec31_dec_sub15_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -102557,7 +102557,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_in2;
   reg [2:0] dec31_dec_sub15_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -102567,7 +102567,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_in3;
   reg [2:0] dec31_dec_sub15_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -102577,7 +102577,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_out;
   reg [2:0] dec31_dec_sub15_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -102587,7 +102587,7 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub15_sv_out2;
   reg [2:0] dec31_dec_sub15_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -102595,112 +102595,112 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub15_upd;
   reg [1:0] dec31_dec_sub15_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_function_unit = 15'h0040;
     endcase
@@ -102708,102 +102708,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_cr_in = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_cr_in = 3'h5;
     endcase
@@ -102811,102 +102811,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_cr_out = 3'h0;
     endcase
@@ -102914,102 +102914,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_in1 = 3'h2;
     endcase
@@ -103017,102 +103017,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_in2 = 3'h3;
     endcase
@@ -103120,102 +103120,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_in3 = 3'h0;
     endcase
@@ -103223,102 +103223,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_out = 3'h1;
     endcase
@@ -103326,102 +103326,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_out2 = 3'h0;
     endcase
@@ -103429,102 +103429,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_cr_in = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_cr_in = 3'h4;
     endcase
@@ -103532,102 +103532,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sv_cr_out = 3'h0;
     endcase
@@ -103635,102 +103635,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_ldst_len = 4'h0;
     endcase
@@ -103738,102 +103738,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_internal_op = 7'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_internal_op = 7'h23;
     endcase
@@ -103841,102 +103841,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_upd = 2'h0;
     endcase
@@ -103944,102 +103944,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_rc_sel = 2'h0;
     endcase
@@ -104047,102 +104047,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_cry_in = 2'h0;
     endcase
@@ -104150,102 +104150,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_asmcode = 8'h61;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_asmcode = 8'h61;
     endcase
@@ -104253,102 +104253,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_inv_a = 1'h0;
     endcase
@@ -104356,102 +104356,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_inv_out = 1'h0;
     endcase
@@ -104459,102 +104459,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_cry_out = 1'h0;
     endcase
@@ -104562,102 +104562,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_br = 1'h0;
     endcase
@@ -104665,102 +104665,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sgn_ext = 1'h0;
     endcase
@@ -104768,102 +104768,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_rsrv = 1'h0;
     endcase
@@ -104871,102 +104871,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_form = 5'h12;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_form = 5'h12;
     endcase
@@ -104974,102 +104974,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_is_32b = 1'h0;
     endcase
@@ -105077,102 +105077,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sgn = 1'h0;
     endcase
@@ -105180,102 +105180,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_lk = 1'h0;
     endcase
@@ -105283,102 +105283,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_sgl_pipe = 1'h1;
     endcase
@@ -105386,102 +105386,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_SV_Etype = 2'h1;
     endcase
@@ -105489,102 +105489,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_SV_Ptype = 2'h1;
     endcase
@@ -105592,102 +105592,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_in1_sel = 3'h2;
     endcase
@@ -105695,102 +105695,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_in2_sel = 4'h1;
     endcase
@@ -105798,102 +105798,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_in3_sel = 3'h0;
     endcase
@@ -105901,102 +105901,102 @@ module dec31_dec_sub15(dec31_dec_sub15_function_unit, dec31_dec_sub15_internal_o
   always @* begin
     if (\initial ) begin end
     (* full_case = 32'd1 *)
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub15_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub15_out_sel = 3'h1;
     endcase
@@ -106012,20 +106012,20 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub16_SV_Etype;
   reg [1:0] dec31_dec_sub16_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub16_SV_Ptype;
   reg [1:0] dec31_dec_sub16_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub16_asmcode;
   reg [7:0] dec31_dec_sub16_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_br;
   reg dec31_dec_sub16_br;
   (* enum_base_type = "CRInSel" *)
@@ -106037,7 +106037,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_cr_in;
   reg [2:0] dec31_dec_sub16_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -106047,17 +106047,17 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_cr_out;
   reg [2:0] dec31_dec_sub16_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub16_cry_in;
   reg [1:0] dec31_dec_sub16_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_cry_out;
   reg dec31_dec_sub16_cry_out;
   (* enum_base_type = "Form" *)
@@ -106091,7 +106091,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub16_form;
   reg [4:0] dec31_dec_sub16_form;
   (* enum_base_type = "Function" *)
@@ -106110,7 +106110,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub16_function_unit;
   reg [14:0] dec31_dec_sub16_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -106121,7 +106121,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_in1_sel;
   reg [2:0] dec31_dec_sub16_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -106140,7 +106140,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub16_in2_sel;
   reg [3:0] dec31_dec_sub16_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -106149,7 +106149,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_in3_sel;
   reg [2:0] dec31_dec_sub16_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -106229,16 +106229,16 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub16_internal_op;
   reg [6:0] dec31_dec_sub16_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_inv_a;
   reg dec31_dec_sub16_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_inv_out;
   reg dec31_dec_sub16_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_is_32b;
   reg dec31_dec_sub16_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -106247,10 +106247,10 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub16_ldst_len;
   reg [3:0] dec31_dec_sub16_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_lk;
   reg dec31_dec_sub16_lk;
   (* enum_base_type = "OutSel" *)
@@ -106260,26 +106260,26 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_out_sel;
   reg [2:0] dec31_dec_sub16_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub16_rc_sel;
   reg [1:0] dec31_dec_sub16_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_rsrv;
   reg dec31_dec_sub16_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_sgl_pipe;
   reg dec31_dec_sub16_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_sgn;
   reg dec31_dec_sub16_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub16_sgn_ext;
   reg dec31_dec_sub16_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -106289,7 +106289,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_cr_in;
   reg [2:0] dec31_dec_sub16_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -106299,7 +106299,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_cr_out;
   reg [2:0] dec31_dec_sub16_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -106309,7 +106309,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_in1;
   reg [2:0] dec31_dec_sub16_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -106319,7 +106319,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_in2;
   reg [2:0] dec31_dec_sub16_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -106329,7 +106329,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_in3;
   reg [2:0] dec31_dec_sub16_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -106339,7 +106339,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_out;
   reg [2:0] dec31_dec_sub16_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -106349,7 +106349,7 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub16_sv_out2;
   reg [2:0] dec31_dec_sub16_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -106357,19 +106357,19 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub16_upd;
   reg [1:0] dec31_dec_sub16_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_function_unit = 15'h0040;
     endcase
@@ -106377,9 +106377,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_cr_in = 3'h6;
     endcase
@@ -106387,9 +106387,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_cr_out = 3'h4;
     endcase
@@ -106397,9 +106397,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_in1 = 3'h2;
     endcase
@@ -106407,9 +106407,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_in2 = 3'h0;
     endcase
@@ -106417,9 +106417,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_in3 = 3'h0;
     endcase
@@ -106427,9 +106427,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_out = 3'h0;
     endcase
@@ -106437,9 +106437,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_out2 = 3'h0;
     endcase
@@ -106447,9 +106447,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_cr_in = 3'h0;
     endcase
@@ -106457,9 +106457,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sv_cr_out = 3'h0;
     endcase
@@ -106467,9 +106467,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_ldst_len = 4'h0;
     endcase
@@ -106477,9 +106477,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_internal_op = 7'h30;
     endcase
@@ -106487,9 +106487,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_upd = 2'h0;
     endcase
@@ -106497,9 +106497,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_rc_sel = 2'h0;
     endcase
@@ -106507,9 +106507,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_cry_in = 2'h0;
     endcase
@@ -106517,9 +106517,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_asmcode = 8'h96;
     endcase
@@ -106527,9 +106527,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_inv_a = 1'h0;
     endcase
@@ -106537,9 +106537,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_inv_out = 1'h0;
     endcase
@@ -106547,9 +106547,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_cry_out = 1'h0;
     endcase
@@ -106557,9 +106557,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_br = 1'h0;
     endcase
@@ -106567,9 +106567,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sgn_ext = 1'h0;
     endcase
@@ -106577,9 +106577,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_rsrv = 1'h0;
     endcase
@@ -106587,9 +106587,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_form = 5'h0a;
     endcase
@@ -106597,9 +106597,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_is_32b = 1'h0;
     endcase
@@ -106607,9 +106607,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sgn = 1'h0;
     endcase
@@ -106617,9 +106617,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_lk = 1'h0;
     endcase
@@ -106627,9 +106627,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_sgl_pipe = 1'h0;
     endcase
@@ -106637,9 +106637,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_SV_Etype = 2'h1;
     endcase
@@ -106647,9 +106647,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_SV_Ptype = 2'h2;
     endcase
@@ -106657,9 +106657,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_in1_sel = 3'h4;
     endcase
@@ -106667,9 +106667,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_in2_sel = 4'h0;
     endcase
@@ -106677,9 +106677,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_in3_sel = 3'h0;
     endcase
@@ -106687,9 +106687,9 @@ module dec31_dec_sub16(dec31_dec_sub16_function_unit, dec31_dec_sub16_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub16_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub16_out_sel = 3'h0;
     endcase
@@ -106705,20 +106705,20 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub18_SV_Etype;
   reg [1:0] dec31_dec_sub18_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub18_SV_Ptype;
   reg [1:0] dec31_dec_sub18_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub18_asmcode;
   reg [7:0] dec31_dec_sub18_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_br;
   reg dec31_dec_sub18_br;
   (* enum_base_type = "CRInSel" *)
@@ -106730,7 +106730,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_cr_in;
   reg [2:0] dec31_dec_sub18_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -106740,17 +106740,17 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_cr_out;
   reg [2:0] dec31_dec_sub18_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub18_cry_in;
   reg [1:0] dec31_dec_sub18_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_cry_out;
   reg dec31_dec_sub18_cry_out;
   (* enum_base_type = "Form" *)
@@ -106784,7 +106784,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub18_form;
   reg [4:0] dec31_dec_sub18_form;
   (* enum_base_type = "Function" *)
@@ -106803,7 +106803,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub18_function_unit;
   reg [14:0] dec31_dec_sub18_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -106814,7 +106814,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_in1_sel;
   reg [2:0] dec31_dec_sub18_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -106833,7 +106833,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub18_in2_sel;
   reg [3:0] dec31_dec_sub18_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -106842,7 +106842,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_in3_sel;
   reg [2:0] dec31_dec_sub18_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -106922,16 +106922,16 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub18_internal_op;
   reg [6:0] dec31_dec_sub18_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_inv_a;
   reg dec31_dec_sub18_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_inv_out;
   reg dec31_dec_sub18_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_is_32b;
   reg dec31_dec_sub18_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -106940,10 +106940,10 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub18_ldst_len;
   reg [3:0] dec31_dec_sub18_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_lk;
   reg dec31_dec_sub18_lk;
   (* enum_base_type = "OutSel" *)
@@ -106953,26 +106953,26 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_out_sel;
   reg [2:0] dec31_dec_sub18_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub18_rc_sel;
   reg [1:0] dec31_dec_sub18_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_rsrv;
   reg dec31_dec_sub18_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_sgl_pipe;
   reg dec31_dec_sub18_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_sgn;
   reg dec31_dec_sub18_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub18_sgn_ext;
   reg dec31_dec_sub18_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -106982,7 +106982,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_cr_in;
   reg [2:0] dec31_dec_sub18_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -106992,7 +106992,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_cr_out;
   reg [2:0] dec31_dec_sub18_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -107002,7 +107002,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_in1;
   reg [2:0] dec31_dec_sub18_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -107012,7 +107012,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_in2;
   reg [2:0] dec31_dec_sub18_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -107022,7 +107022,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_in3;
   reg [2:0] dec31_dec_sub18_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -107032,7 +107032,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_out;
   reg [2:0] dec31_dec_sub18_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -107042,7 +107042,7 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub18_sv_out2;
   reg [2:0] dec31_dec_sub18_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -107050,31 +107050,31 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub18_upd;
   reg [1:0] dec31_dec_sub18_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_function_unit = 15'h0800;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_function_unit = 15'h0800;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_function_unit = 15'h0800;
     endcase
@@ -107082,21 +107082,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_cr_in = 3'h0;
     endcase
@@ -107104,21 +107104,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_cr_out = 3'h0;
     endcase
@@ -107126,21 +107126,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_in1 = 3'h0;
     endcase
@@ -107148,21 +107148,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_in2 = 3'h0;
     endcase
@@ -107170,21 +107170,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_in3 = 3'h0;
     endcase
@@ -107192,21 +107192,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_out = 3'h0;
     endcase
@@ -107214,21 +107214,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_out2 = 3'h0;
     endcase
@@ -107236,21 +107236,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_cr_in = 3'h0;
     endcase
@@ -107258,21 +107258,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sv_cr_out = 3'h0;
     endcase
@@ -107280,21 +107280,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_ldst_len = 4'h0;
     endcase
@@ -107302,21 +107302,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_internal_op = 7'h48;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_internal_op = 7'h4a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_internal_op = 7'h4b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_internal_op = 7'h4b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_internal_op = 7'h4b;
     endcase
@@ -107324,21 +107324,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_upd = 2'h0;
     endcase
@@ -107346,21 +107346,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_rc_sel = 2'h0;
     endcase
@@ -107368,21 +107368,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_cry_in = 2'h0;
     endcase
@@ -107390,21 +107390,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_asmcode = 8'h98;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_asmcode = 8'h97;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_asmcode = 8'hbe;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_asmcode = 8'hf6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_asmcode = 8'hf7;
     endcase
@@ -107412,21 +107412,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_inv_a = 1'h0;
     endcase
@@ -107434,21 +107434,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_inv_out = 1'h0;
     endcase
@@ -107456,21 +107456,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_cry_out = 1'h0;
     endcase
@@ -107478,21 +107478,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_br = 1'h0;
     endcase
@@ -107500,21 +107500,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sgn_ext = 1'h0;
     endcase
@@ -107522,21 +107522,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_rsrv = 1'h0;
     endcase
@@ -107544,21 +107544,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_form = 5'h08;
     endcase
@@ -107566,21 +107566,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_is_32b = 1'h0;
     endcase
@@ -107588,21 +107588,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sgn = 1'h0;
     endcase
@@ -107610,21 +107610,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_lk = 1'h0;
     endcase
@@ -107632,21 +107632,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_sgl_pipe = 1'h0;
     endcase
@@ -107654,21 +107654,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_SV_Etype = 2'h0;
     endcase
@@ -107676,21 +107676,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_SV_Ptype = 2'h0;
     endcase
@@ -107698,21 +107698,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_in1_sel = 3'h0;
     endcase
@@ -107720,21 +107720,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_in2_sel = 4'h1;
     endcase
@@ -107742,21 +107742,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_in3_sel = 3'h0;
     endcase
@@ -107764,21 +107764,21 @@ module dec31_dec_sub18(dec31_dec_sub18_function_unit, dec31_dec_sub18_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub18_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub18_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub18_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub18_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub18_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub18_out_sel = 3'h0;
     endcase
@@ -107794,20 +107794,20 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub19_SV_Etype;
   reg [1:0] dec31_dec_sub19_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub19_SV_Ptype;
   reg [1:0] dec31_dec_sub19_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub19_asmcode;
   reg [7:0] dec31_dec_sub19_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_br;
   reg dec31_dec_sub19_br;
   (* enum_base_type = "CRInSel" *)
@@ -107819,7 +107819,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_cr_in;
   reg [2:0] dec31_dec_sub19_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -107829,17 +107829,17 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_cr_out;
   reg [2:0] dec31_dec_sub19_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub19_cry_in;
   reg [1:0] dec31_dec_sub19_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_cry_out;
   reg dec31_dec_sub19_cry_out;
   (* enum_base_type = "Form" *)
@@ -107873,7 +107873,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub19_form;
   reg [4:0] dec31_dec_sub19_form;
   (* enum_base_type = "Function" *)
@@ -107892,7 +107892,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub19_function_unit;
   reg [14:0] dec31_dec_sub19_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -107903,7 +107903,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_in1_sel;
   reg [2:0] dec31_dec_sub19_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -107922,7 +107922,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub19_in2_sel;
   reg [3:0] dec31_dec_sub19_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -107931,7 +107931,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_in3_sel;
   reg [2:0] dec31_dec_sub19_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -108011,16 +108011,16 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub19_internal_op;
   reg [6:0] dec31_dec_sub19_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_inv_a;
   reg dec31_dec_sub19_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_inv_out;
   reg dec31_dec_sub19_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_is_32b;
   reg dec31_dec_sub19_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -108029,10 +108029,10 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub19_ldst_len;
   reg [3:0] dec31_dec_sub19_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_lk;
   reg dec31_dec_sub19_lk;
   (* enum_base_type = "OutSel" *)
@@ -108042,26 +108042,26 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_out_sel;
   reg [2:0] dec31_dec_sub19_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub19_rc_sel;
   reg [1:0] dec31_dec_sub19_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_rsrv;
   reg dec31_dec_sub19_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_sgl_pipe;
   reg dec31_dec_sub19_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_sgn;
   reg dec31_dec_sub19_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub19_sgn_ext;
   reg dec31_dec_sub19_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -108071,7 +108071,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_cr_in;
   reg [2:0] dec31_dec_sub19_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -108081,7 +108081,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_cr_out;
   reg [2:0] dec31_dec_sub19_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -108091,7 +108091,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_in1;
   reg [2:0] dec31_dec_sub19_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -108101,7 +108101,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_in2;
   reg [2:0] dec31_dec_sub19_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -108111,7 +108111,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_in3;
   reg [2:0] dec31_dec_sub19_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -108121,7 +108121,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_out;
   reg [2:0] dec31_dec_sub19_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -108131,7 +108131,7 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub19_sv_out2;
   reg [2:0] dec31_dec_sub19_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -108139,28 +108139,28 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub19_upd;
   reg [1:0] dec31_dec_sub19_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_function_unit = 15'h0040;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_function_unit = 15'h0400;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_function_unit = 15'h0400;
     endcase
@@ -108168,18 +108168,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_cr_in = 3'h6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_cr_in = 3'h0;
     endcase
@@ -108187,18 +108187,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_cr_out = 3'h0;
     endcase
@@ -108206,18 +108206,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_in1 = 3'h2;
     endcase
@@ -108225,18 +108225,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_in2 = 3'h0;
     endcase
@@ -108244,18 +108244,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_in3 = 3'h0;
     endcase
@@ -108263,18 +108263,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_out = 3'h1;
     endcase
@@ -108282,18 +108282,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_out2 = 3'h0;
     endcase
@@ -108301,18 +108301,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_cr_in = 3'h0;
     endcase
@@ -108320,18 +108320,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sv_cr_out = 3'h0;
     endcase
@@ -108339,18 +108339,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_ldst_len = 4'h0;
     endcase
@@ -108358,18 +108358,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_internal_op = 7'h2d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_internal_op = 7'h47;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_internal_op = 7'h2e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_internal_op = 7'h31;
     endcase
@@ -108377,18 +108377,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_upd = 2'h0;
     endcase
@@ -108396,18 +108396,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_rc_sel = 2'h0;
     endcase
@@ -108415,18 +108415,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_cry_in = 2'h0;
     endcase
@@ -108434,18 +108434,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_asmcode = 8'h8f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_asmcode = 8'h90;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_asmcode = 8'h91;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_asmcode = 8'h99;
     endcase
@@ -108453,18 +108453,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_inv_a = 1'h0;
     endcase
@@ -108472,18 +108472,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_inv_out = 1'h0;
     endcase
@@ -108491,18 +108491,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_cry_out = 1'h0;
     endcase
@@ -108510,18 +108510,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_br = 1'h0;
     endcase
@@ -108529,18 +108529,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sgn_ext = 1'h0;
     endcase
@@ -108548,18 +108548,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_rsrv = 1'h0;
     endcase
@@ -108567,18 +108567,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_form = 5'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_form = 5'h0a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_form = 5'h0a;
     endcase
@@ -108586,18 +108586,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_is_32b = 1'h0;
     endcase
@@ -108605,18 +108605,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sgn = 1'h0;
     endcase
@@ -108624,18 +108624,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_lk = 1'h0;
     endcase
@@ -108643,18 +108643,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_sgl_pipe = 1'h0;
     endcase
@@ -108662,18 +108662,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_SV_Etype = 2'h2;
     endcase
@@ -108681,18 +108681,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_SV_Ptype = 2'h2;
     endcase
@@ -108700,18 +108700,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_in1_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_in1_sel = 3'h4;
     endcase
@@ -108719,18 +108719,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_in2_sel = 4'h0;
     endcase
@@ -108738,18 +108738,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_in3_sel = 3'h0;
     endcase
@@ -108757,18 +108757,18 @@ module dec31_dec_sub19(dec31_dec_sub19_function_unit, dec31_dec_sub19_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub19_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub19_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub19_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub19_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub19_out_sel = 3'h3;
     endcase
@@ -108784,20 +108784,20 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub20_SV_Etype;
   reg [1:0] dec31_dec_sub20_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub20_SV_Ptype;
   reg [1:0] dec31_dec_sub20_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub20_asmcode;
   reg [7:0] dec31_dec_sub20_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_br;
   reg dec31_dec_sub20_br;
   (* enum_base_type = "CRInSel" *)
@@ -108809,7 +108809,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_cr_in;
   reg [2:0] dec31_dec_sub20_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -108819,17 +108819,17 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_cr_out;
   reg [2:0] dec31_dec_sub20_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub20_cry_in;
   reg [1:0] dec31_dec_sub20_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_cry_out;
   reg dec31_dec_sub20_cry_out;
   (* enum_base_type = "Form" *)
@@ -108863,7 +108863,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub20_form;
   reg [4:0] dec31_dec_sub20_form;
   (* enum_base_type = "Function" *)
@@ -108882,7 +108882,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub20_function_unit;
   reg [14:0] dec31_dec_sub20_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -108893,7 +108893,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_in1_sel;
   reg [2:0] dec31_dec_sub20_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -108912,7 +108912,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub20_in2_sel;
   reg [3:0] dec31_dec_sub20_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -108921,7 +108921,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_in3_sel;
   reg [2:0] dec31_dec_sub20_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -109001,16 +109001,16 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub20_internal_op;
   reg [6:0] dec31_dec_sub20_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_inv_a;
   reg dec31_dec_sub20_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_inv_out;
   reg dec31_dec_sub20_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_is_32b;
   reg dec31_dec_sub20_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -109019,10 +109019,10 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub20_ldst_len;
   reg [3:0] dec31_dec_sub20_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_lk;
   reg dec31_dec_sub20_lk;
   (* enum_base_type = "OutSel" *)
@@ -109032,26 +109032,26 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_out_sel;
   reg [2:0] dec31_dec_sub20_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub20_rc_sel;
   reg [1:0] dec31_dec_sub20_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_rsrv;
   reg dec31_dec_sub20_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_sgl_pipe;
   reg dec31_dec_sub20_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_sgn;
   reg dec31_dec_sub20_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub20_sgn_ext;
   reg dec31_dec_sub20_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -109061,7 +109061,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_cr_in;
   reg [2:0] dec31_dec_sub20_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -109071,7 +109071,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_cr_out;
   reg [2:0] dec31_dec_sub20_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -109081,7 +109081,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_in1;
   reg [2:0] dec31_dec_sub20_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -109091,7 +109091,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_in2;
   reg [2:0] dec31_dec_sub20_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -109101,7 +109101,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_in3;
   reg [2:0] dec31_dec_sub20_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -109111,7 +109111,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_out;
   reg [2:0] dec31_dec_sub20_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -109121,7 +109121,7 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub20_sv_out2;
   reg [2:0] dec31_dec_sub20_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -109129,34 +109129,34 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub20_upd;
   reg [1:0] dec31_dec_sub20_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_function_unit = 15'h0004;
     endcase
@@ -109164,24 +109164,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_cr_in = 3'h0;
     endcase
@@ -109189,24 +109189,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_cr_out = 3'h0;
     endcase
@@ -109214,24 +109214,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_in1 = 3'h2;
     endcase
@@ -109239,24 +109239,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_in2 = 3'h3;
     endcase
@@ -109264,24 +109264,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_in3 = 3'h1;
     endcase
@@ -109289,24 +109289,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_out = 3'h0;
     endcase
@@ -109314,24 +109314,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_out2 = 3'h0;
     endcase
@@ -109339,24 +109339,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_cr_in = 3'h0;
     endcase
@@ -109364,24 +109364,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sv_cr_out = 3'h0;
     endcase
@@ -109389,24 +109389,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_ldst_len = 4'h8;
     endcase
@@ -109414,24 +109414,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_internal_op = 7'h26;
     endcase
@@ -109439,24 +109439,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_upd = 2'h0;
     endcase
@@ -109464,24 +109464,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_rc_sel = 2'h0;
     endcase
@@ -109489,24 +109489,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_cry_in = 2'h0;
     endcase
@@ -109514,24 +109514,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_asmcode = 8'h63;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_asmcode = 8'h69;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_asmcode = 8'h6a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_asmcode = 8'h79;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_asmcode = 8'h83;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_asmcode = 8'hce;
     endcase
@@ -109539,24 +109539,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_inv_a = 1'h0;
     endcase
@@ -109564,24 +109564,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_inv_out = 1'h0;
     endcase
@@ -109589,24 +109589,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_cry_out = 1'h0;
     endcase
@@ -109614,24 +109614,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_br = 1'h1;
     endcase
@@ -109639,24 +109639,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sgn_ext = 1'h0;
     endcase
@@ -109664,24 +109664,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_rsrv = 1'h0;
     endcase
@@ -109689,24 +109689,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_form = 5'h08;
     endcase
@@ -109714,24 +109714,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_is_32b = 1'h0;
     endcase
@@ -109739,24 +109739,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sgn = 1'h0;
     endcase
@@ -109764,24 +109764,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_lk = 1'h0;
     endcase
@@ -109789,24 +109789,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_sgl_pipe = 1'h1;
     endcase
@@ -109814,24 +109814,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_SV_Etype = 2'h1;
     endcase
@@ -109839,24 +109839,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_SV_Ptype = 2'h2;
     endcase
@@ -109864,24 +109864,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_in1_sel = 3'h2;
     endcase
@@ -109889,24 +109889,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_in2_sel = 4'h1;
     endcase
@@ -109914,24 +109914,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_in3_sel = 3'h1;
     endcase
@@ -109939,24 +109939,24 @@ module dec31_dec_sub20(dec31_dec_sub20_function_unit, dec31_dec_sub20_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub20_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub20_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub20_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub20_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub20_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub20_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub20_out_sel = 3'h0;
     endcase
@@ -109972,20 +109972,20 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub21_SV_Etype;
   reg [1:0] dec31_dec_sub21_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub21_SV_Ptype;
   reg [1:0] dec31_dec_sub21_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub21_asmcode;
   reg [7:0] dec31_dec_sub21_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_br;
   reg dec31_dec_sub21_br;
   (* enum_base_type = "CRInSel" *)
@@ -109997,7 +109997,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_cr_in;
   reg [2:0] dec31_dec_sub21_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -110007,17 +110007,17 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_cr_out;
   reg [2:0] dec31_dec_sub21_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub21_cry_in;
   reg [1:0] dec31_dec_sub21_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_cry_out;
   reg dec31_dec_sub21_cry_out;
   (* enum_base_type = "Form" *)
@@ -110051,7 +110051,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub21_form;
   reg [4:0] dec31_dec_sub21_form;
   (* enum_base_type = "Function" *)
@@ -110070,7 +110070,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub21_function_unit;
   reg [14:0] dec31_dec_sub21_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -110081,7 +110081,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_in1_sel;
   reg [2:0] dec31_dec_sub21_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -110100,7 +110100,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub21_in2_sel;
   reg [3:0] dec31_dec_sub21_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -110109,7 +110109,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_in3_sel;
   reg [2:0] dec31_dec_sub21_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -110189,16 +110189,16 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub21_internal_op;
   reg [6:0] dec31_dec_sub21_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_inv_a;
   reg dec31_dec_sub21_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_inv_out;
   reg dec31_dec_sub21_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_is_32b;
   reg dec31_dec_sub21_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -110207,10 +110207,10 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub21_ldst_len;
   reg [3:0] dec31_dec_sub21_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_lk;
   reg dec31_dec_sub21_lk;
   (* enum_base_type = "OutSel" *)
@@ -110220,26 +110220,26 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_out_sel;
   reg [2:0] dec31_dec_sub21_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub21_rc_sel;
   reg [1:0] dec31_dec_sub21_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_rsrv;
   reg dec31_dec_sub21_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_sgl_pipe;
   reg dec31_dec_sub21_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_sgn;
   reg dec31_dec_sub21_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub21_sgn_ext;
   reg dec31_dec_sub21_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -110249,7 +110249,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_cr_in;
   reg [2:0] dec31_dec_sub21_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -110259,7 +110259,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_cr_out;
   reg [2:0] dec31_dec_sub21_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -110269,7 +110269,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_in1;
   reg [2:0] dec31_dec_sub21_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -110279,7 +110279,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_in2;
   reg [2:0] dec31_dec_sub21_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -110289,7 +110289,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_in3;
   reg [2:0] dec31_dec_sub21_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -110299,7 +110299,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_out;
   reg [2:0] dec31_dec_sub21_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -110309,7 +110309,7 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub21_sv_out2;
   reg [2:0] dec31_dec_sub21_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -110317,58 +110317,58 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub21_upd;
   reg [1:0] dec31_dec_sub21_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_function_unit = 15'h0004;
     endcase
@@ -110376,48 +110376,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_cr_in = 3'h0;
     endcase
@@ -110425,48 +110425,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_cr_out = 3'h0;
     endcase
@@ -110474,48 +110474,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_in1 = 3'h2;
     endcase
@@ -110523,48 +110523,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_in2 = 3'h3;
     endcase
@@ -110572,48 +110572,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_in3 = 3'h1;
     endcase
@@ -110621,48 +110621,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_out = 3'h0;
     endcase
@@ -110670,48 +110670,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_out2 = 3'h0;
     endcase
@@ -110719,48 +110719,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_cr_in = 3'h0;
     endcase
@@ -110768,48 +110768,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sv_cr_out = 3'h0;
     endcase
@@ -110817,48 +110817,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_ldst_len = 4'h4;
     endcase
@@ -110866,48 +110866,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_internal_op = 7'h26;
     endcase
@@ -110915,48 +110915,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_upd = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_upd = 2'h2;
     endcase
@@ -110964,48 +110964,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_rc_sel = 2'h0;
     endcase
@@ -111013,48 +111013,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_cry_in = 2'h0;
     endcase
@@ -111062,48 +111062,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_inv_a = 1'h0;
     endcase
@@ -111111,48 +111111,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_inv_out = 1'h0;
     endcase
@@ -111160,48 +111160,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_cry_out = 1'h0;
     endcase
@@ -111209,48 +111209,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_br = 1'h0;
     endcase
@@ -111258,48 +111258,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sgn_ext = 1'h0;
     endcase
@@ -111307,48 +111307,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_rsrv = 1'h0;
     endcase
@@ -111356,48 +111356,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_is_32b = 1'h0;
     endcase
@@ -111405,48 +111405,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_form = 5'h08;
     endcase
@@ -111454,48 +111454,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sgn = 1'h0;
     endcase
@@ -111503,48 +111503,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_lk = 1'h0;
     endcase
@@ -111552,48 +111552,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_sgl_pipe = 1'h1;
     endcase
@@ -111601,42 +111601,42 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_asmcode = 8'h6c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_asmcode = 8'h6d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_asmcode = 8'h84;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_asmcode = 8'h85;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_asmcode = 8'h88;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_asmcode = 8'hc8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_asmcode = 8'hd1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_asmcode = 8'hd2;
     endcase
@@ -111644,48 +111644,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_SV_Etype = 2'h1;
     endcase
@@ -111693,48 +111693,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_SV_Ptype = 2'h2;
     endcase
@@ -111742,48 +111742,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_in1_sel = 3'h2;
     endcase
@@ -111791,48 +111791,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_in2_sel = 4'h1;
     endcase
@@ -111840,48 +111840,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_in3_sel = 3'h1;
     endcase
@@ -111889,48 +111889,48 @@ module dec31_dec_sub21(dec31_dec_sub21_function_unit, dec31_dec_sub21_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub21_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub21_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub21_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub21_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub21_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub21_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub21_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub21_out_sel = 3'h0;
     endcase
@@ -111946,20 +111946,20 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub22_SV_Etype;
   reg [1:0] dec31_dec_sub22_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub22_SV_Ptype;
   reg [1:0] dec31_dec_sub22_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub22_asmcode;
   reg [7:0] dec31_dec_sub22_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_br;
   reg dec31_dec_sub22_br;
   (* enum_base_type = "CRInSel" *)
@@ -111971,7 +111971,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_cr_in;
   reg [2:0] dec31_dec_sub22_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -111981,17 +111981,17 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_cr_out;
   reg [2:0] dec31_dec_sub22_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub22_cry_in;
   reg [1:0] dec31_dec_sub22_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_cry_out;
   reg dec31_dec_sub22_cry_out;
   (* enum_base_type = "Form" *)
@@ -112025,7 +112025,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub22_form;
   reg [4:0] dec31_dec_sub22_form;
   (* enum_base_type = "Function" *)
@@ -112044,7 +112044,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub22_function_unit;
   reg [14:0] dec31_dec_sub22_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -112055,7 +112055,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_in1_sel;
   reg [2:0] dec31_dec_sub22_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -112074,7 +112074,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub22_in2_sel;
   reg [3:0] dec31_dec_sub22_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -112083,7 +112083,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_in3_sel;
   reg [2:0] dec31_dec_sub22_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -112163,16 +112163,16 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub22_internal_op;
   reg [6:0] dec31_dec_sub22_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_inv_a;
   reg dec31_dec_sub22_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_inv_out;
   reg dec31_dec_sub22_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_is_32b;
   reg dec31_dec_sub22_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -112181,10 +112181,10 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub22_ldst_len;
   reg [3:0] dec31_dec_sub22_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_lk;
   reg dec31_dec_sub22_lk;
   (* enum_base_type = "OutSel" *)
@@ -112194,26 +112194,26 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_out_sel;
   reg [2:0] dec31_dec_sub22_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub22_rc_sel;
   reg [1:0] dec31_dec_sub22_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_rsrv;
   reg dec31_dec_sub22_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_sgl_pipe;
   reg dec31_dec_sub22_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_sgn;
   reg dec31_dec_sub22_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub22_sgn_ext;
   reg dec31_dec_sub22_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -112223,7 +112223,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_cr_in;
   reg [2:0] dec31_dec_sub22_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -112233,7 +112233,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_cr_out;
   reg [2:0] dec31_dec_sub22_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -112243,7 +112243,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_in1;
   reg [2:0] dec31_dec_sub22_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -112253,7 +112253,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_in2;
   reg [2:0] dec31_dec_sub22_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -112263,7 +112263,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_in3;
   reg [2:0] dec31_dec_sub22_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -112273,7 +112273,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_out;
   reg [2:0] dec31_dec_sub22_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -112283,7 +112283,7 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub22_sv_out2;
   reg [2:0] dec31_dec_sub22_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -112291,64 +112291,64 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub22_upd;
   reg [1:0] dec31_dec_sub22_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_function_unit = 15'h0800;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_function_unit = 15'h0002;
     endcase
@@ -112356,54 +112356,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_cr_in = 3'h0;
     endcase
@@ -112411,54 +112411,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_cr_out = 3'h0;
     endcase
@@ -112466,54 +112466,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_in1 = 3'h0;
     endcase
@@ -112521,54 +112521,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_in2 = 3'h0;
     endcase
@@ -112576,54 +112576,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_in3 = 3'h0;
     endcase
@@ -112631,54 +112631,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_out = 3'h0;
     endcase
@@ -112686,54 +112686,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_out2 = 3'h0;
     endcase
@@ -112741,54 +112741,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_cr_in = 3'h0;
     endcase
@@ -112796,54 +112796,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sv_cr_out = 3'h0;
     endcase
@@ -112851,54 +112851,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_ldst_len = 4'h0;
     endcase
@@ -112906,54 +112906,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_internal_op = 7'h1c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_internal_op = 7'h21;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_internal_op = 7'h01;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_internal_op = 7'h01;
     endcase
@@ -112961,54 +112961,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_upd = 2'h0;
     endcase
@@ -113016,54 +113016,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_rc_sel = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_rc_sel = 2'h0;
     endcase
@@ -113071,54 +113071,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_cry_in = 2'h0;
     endcase
@@ -113126,54 +113126,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_asmcode = 8'h2e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_asmcode = 8'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_asmcode = 8'h30;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_asmcode = 8'h31;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_asmcode = 8'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_asmcode = 8'h5f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_asmcode = 8'h60;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_asmcode = 8'h7d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_asmcode = 8'h86;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_asmcode = 8'hc9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_asmcode = 8'hcf;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_asmcode = 8'hdd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_asmcode = 8'hde;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_asmcode = 8'he3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_asmcode = 8'he4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_asmcode = 8'hf3;
     endcase
@@ -113181,54 +113181,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_inv_a = 1'h0;
     endcase
@@ -113236,54 +113236,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_inv_out = 1'h0;
     endcase
@@ -113291,54 +113291,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_cry_out = 1'h0;
     endcase
@@ -113346,54 +113346,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_br = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_br = 1'h0;
     endcase
@@ -113401,54 +113401,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sgn_ext = 1'h0;
     endcase
@@ -113456,54 +113456,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_rsrv = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_rsrv = 1'h0;
     endcase
@@ -113511,54 +113511,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_form = 5'h08;
     endcase
@@ -113566,54 +113566,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_is_32b = 1'h0;
     endcase
@@ -113621,54 +113621,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sgn = 1'h0;
     endcase
@@ -113676,54 +113676,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_lk = 1'h0;
     endcase
@@ -113731,54 +113731,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_sgl_pipe = 1'h1;
     endcase
@@ -113786,54 +113786,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_SV_Etype = 2'h0;
     endcase
@@ -113841,54 +113841,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_SV_Ptype = 2'h0;
     endcase
@@ -113896,54 +113896,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_in1_sel = 3'h0;
     endcase
@@ -113951,54 +113951,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_in2_sel = 4'h0;
     endcase
@@ -114006,54 +114006,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_in3_sel = 3'h0;
     endcase
@@ -114061,54 +114061,54 @@ module dec31_dec_sub22(dec31_dec_sub22_function_unit, dec31_dec_sub22_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub22_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub22_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub22_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub22_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub22_out_sel = 3'h0;
     endcase
@@ -114124,20 +114124,20 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub23_SV_Etype;
   reg [1:0] dec31_dec_sub23_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub23_SV_Ptype;
   reg [1:0] dec31_dec_sub23_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub23_asmcode;
   reg [7:0] dec31_dec_sub23_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_br;
   reg dec31_dec_sub23_br;
   (* enum_base_type = "CRInSel" *)
@@ -114149,7 +114149,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_cr_in;
   reg [2:0] dec31_dec_sub23_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -114159,17 +114159,17 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_cr_out;
   reg [2:0] dec31_dec_sub23_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub23_cry_in;
   reg [1:0] dec31_dec_sub23_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_cry_out;
   reg dec31_dec_sub23_cry_out;
   (* enum_base_type = "Form" *)
@@ -114203,7 +114203,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub23_form;
   reg [4:0] dec31_dec_sub23_form;
   (* enum_base_type = "Function" *)
@@ -114222,7 +114222,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub23_function_unit;
   reg [14:0] dec31_dec_sub23_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -114233,7 +114233,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_in1_sel;
   reg [2:0] dec31_dec_sub23_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -114252,7 +114252,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub23_in2_sel;
   reg [3:0] dec31_dec_sub23_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -114261,7 +114261,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_in3_sel;
   reg [2:0] dec31_dec_sub23_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -114341,16 +114341,16 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub23_internal_op;
   reg [6:0] dec31_dec_sub23_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_inv_a;
   reg dec31_dec_sub23_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_inv_out;
   reg dec31_dec_sub23_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_is_32b;
   reg dec31_dec_sub23_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -114359,10 +114359,10 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub23_ldst_len;
   reg [3:0] dec31_dec_sub23_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_lk;
   reg dec31_dec_sub23_lk;
   (* enum_base_type = "OutSel" *)
@@ -114372,26 +114372,26 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_out_sel;
   reg [2:0] dec31_dec_sub23_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub23_rc_sel;
   reg [1:0] dec31_dec_sub23_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_rsrv;
   reg dec31_dec_sub23_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_sgl_pipe;
   reg dec31_dec_sub23_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_sgn;
   reg dec31_dec_sub23_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub23_sgn_ext;
   reg dec31_dec_sub23_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -114401,7 +114401,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_cr_in;
   reg [2:0] dec31_dec_sub23_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -114411,7 +114411,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_cr_out;
   reg [2:0] dec31_dec_sub23_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -114421,7 +114421,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_in1;
   reg [2:0] dec31_dec_sub23_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -114431,7 +114431,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_in2;
   reg [2:0] dec31_dec_sub23_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -114441,7 +114441,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_in3;
   reg [2:0] dec31_dec_sub23_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -114451,7 +114451,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_out;
   reg [2:0] dec31_dec_sub23_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -114461,7 +114461,7 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub23_sv_out2;
   reg [2:0] dec31_dec_sub23_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -114469,91 +114469,91 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub23_upd;
   reg [1:0] dec31_dec_sub23_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_function_unit = 15'h0004;
     endcase
@@ -114561,81 +114561,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_cr_in = 3'h0;
     endcase
@@ -114643,81 +114643,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_cr_out = 3'h0;
     endcase
@@ -114725,81 +114725,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_in1 = 3'h2;
     endcase
@@ -114807,81 +114807,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_in2 = 3'h3;
     endcase
@@ -114889,81 +114889,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_in3 = 3'h1;
     endcase
@@ -114971,81 +114971,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_out = 3'h0;
     endcase
@@ -115053,81 +115053,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_out2 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_out2 = 3'h0;
     endcase
@@ -115135,81 +115135,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_cr_in = 3'h0;
     endcase
@@ -115217,81 +115217,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sv_cr_out = 3'h0;
     endcase
@@ -115299,81 +115299,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_ldst_len = 4'h4;
     endcase
@@ -115381,81 +115381,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_internal_op = 7'h26;
     endcase
@@ -115463,81 +115463,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_upd = 2'h0;
     endcase
@@ -115545,81 +115545,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_rc_sel = 2'h0;
     endcase
@@ -115627,81 +115627,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_cry_in = 2'h0;
     endcase
@@ -115709,81 +115709,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_asmcode = 8'h66;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_asmcode = 8'h67;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_asmcode = 8'h73;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_asmcode = 8'h75;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_asmcode = 8'h77;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_asmcode = 8'h76;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_asmcode = 8'h6f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_asmcode = 8'h71;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_asmcode = 8'h7b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_asmcode = 8'h7c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_asmcode = 8'h80;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_asmcode = 8'h81;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_asmcode = 8'h8a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_asmcode = 8'h8b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_asmcode = 8'hcb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_asmcode = 8'hcc;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_asmcode = 8'hd8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_asmcode = 8'hda;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_asmcode = 8'hdb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_asmcode = 8'hd4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           /* empty */;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_asmcode = 8'he0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_asmcode = 8'he1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_asmcode = 8'he6;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_asmcode = 8'he7;
     endcase
@@ -115791,81 +115791,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_inv_a = 1'h0;
     endcase
@@ -115873,81 +115873,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_inv_out = 1'h0;
     endcase
@@ -115955,81 +115955,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_cry_out = 1'h0;
     endcase
@@ -116037,81 +116037,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_br = 1'h0;
     endcase
@@ -116119,81 +116119,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sgn_ext = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sgn_ext = 1'h0;
     endcase
@@ -116201,81 +116201,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_rsrv = 1'h0;
     endcase
@@ -116283,81 +116283,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_form = 5'h08;
     endcase
@@ -116365,81 +116365,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_is_32b = 1'h0;
     endcase
@@ -116447,81 +116447,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sgn = 1'h0;
     endcase
@@ -116529,81 +116529,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_lk = 1'h0;
     endcase
@@ -116611,81 +116611,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_sgl_pipe = 1'h1;
     endcase
@@ -116693,81 +116693,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_SV_Etype = 2'h1;
     endcase
@@ -116775,81 +116775,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_SV_Ptype = 2'h2;
     endcase
@@ -116857,81 +116857,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_in1_sel = 3'h2;
     endcase
@@ -116939,81 +116939,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_in2_sel = 4'h1;
     endcase
@@ -117021,81 +117021,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_in3_sel = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_in3_sel = 3'h1;
     endcase
@@ -117103,81 +117103,81 @@ module dec31_dec_sub23(dec31_dec_sub23_function_unit, dec31_dec_sub23_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub23_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1a:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub23_out_sel = 3'h5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0a:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub23_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h15:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub23_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub23_out_sel = 3'h0;
     endcase
@@ -117193,20 +117193,20 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub24_SV_Etype;
   reg [1:0] dec31_dec_sub24_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub24_SV_Ptype;
   reg [1:0] dec31_dec_sub24_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub24_asmcode;
   reg [7:0] dec31_dec_sub24_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_br;
   reg dec31_dec_sub24_br;
   (* enum_base_type = "CRInSel" *)
@@ -117218,7 +117218,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_cr_in;
   reg [2:0] dec31_dec_sub24_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -117228,17 +117228,17 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_cr_out;
   reg [2:0] dec31_dec_sub24_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub24_cry_in;
   reg [1:0] dec31_dec_sub24_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_cry_out;
   reg dec31_dec_sub24_cry_out;
   (* enum_base_type = "Form" *)
@@ -117272,7 +117272,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub24_form;
   reg [4:0] dec31_dec_sub24_form;
   (* enum_base_type = "Function" *)
@@ -117291,7 +117291,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub24_function_unit;
   reg [14:0] dec31_dec_sub24_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -117302,7 +117302,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_in1_sel;
   reg [2:0] dec31_dec_sub24_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -117321,7 +117321,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub24_in2_sel;
   reg [3:0] dec31_dec_sub24_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -117330,7 +117330,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_in3_sel;
   reg [2:0] dec31_dec_sub24_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -117410,16 +117410,16 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub24_internal_op;
   reg [6:0] dec31_dec_sub24_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_inv_a;
   reg dec31_dec_sub24_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_inv_out;
   reg dec31_dec_sub24_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_is_32b;
   reg dec31_dec_sub24_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -117428,10 +117428,10 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub24_ldst_len;
   reg [3:0] dec31_dec_sub24_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_lk;
   reg dec31_dec_sub24_lk;
   (* enum_base_type = "OutSel" *)
@@ -117441,26 +117441,26 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_out_sel;
   reg [2:0] dec31_dec_sub24_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub24_rc_sel;
   reg [1:0] dec31_dec_sub24_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_rsrv;
   reg dec31_dec_sub24_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_sgl_pipe;
   reg dec31_dec_sub24_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_sgn;
   reg dec31_dec_sub24_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub24_sgn_ext;
   reg dec31_dec_sub24_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -117470,7 +117470,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_cr_in;
   reg [2:0] dec31_dec_sub24_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -117480,7 +117480,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_cr_out;
   reg [2:0] dec31_dec_sub24_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -117490,7 +117490,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_in1;
   reg [2:0] dec31_dec_sub24_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -117500,7 +117500,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_in2;
   reg [2:0] dec31_dec_sub24_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -117510,7 +117510,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_in3;
   reg [2:0] dec31_dec_sub24_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -117520,7 +117520,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_out;
   reg [2:0] dec31_dec_sub24_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -117530,7 +117530,7 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub24_sv_out2;
   reg [2:0] dec31_dec_sub24_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -117538,28 +117538,28 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub24_upd;
   reg [1:0] dec31_dec_sub24_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_function_unit = 15'h0008;
     endcase
@@ -117567,18 +117567,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_cr_in = 3'h0;
     endcase
@@ -117586,18 +117586,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_cr_out = 3'h1;
     endcase
@@ -117605,18 +117605,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_in1 = 3'h0;
     endcase
@@ -117624,18 +117624,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_in2 = 3'h2;
     endcase
@@ -117643,18 +117643,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_in3 = 3'h3;
     endcase
@@ -117662,18 +117662,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_out = 3'h1;
     endcase
@@ -117681,18 +117681,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_out2 = 3'h0;
     endcase
@@ -117700,18 +117700,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_cr_in = 3'h0;
     endcase
@@ -117719,18 +117719,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sv_cr_out = 3'h1;
     endcase
@@ -117738,18 +117738,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_ldst_len = 4'h0;
     endcase
@@ -117757,18 +117757,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_internal_op = 7'h3c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_internal_op = 7'h3d;
     endcase
@@ -117776,18 +117776,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_upd = 2'h0;
     endcase
@@ -117795,18 +117795,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_rc_sel = 2'h2;
     endcase
@@ -117814,18 +117814,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_cry_in = 2'h0;
     endcase
@@ -117833,18 +117833,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_asmcode = 8'hc0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_asmcode = 8'hc3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_asmcode = 8'hc4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_asmcode = 8'hc6;
     endcase
@@ -117852,18 +117852,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_inv_a = 1'h0;
     endcase
@@ -117871,18 +117871,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_inv_out = 1'h0;
     endcase
@@ -117890,18 +117890,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_cry_out = 1'h0;
     endcase
@@ -117909,18 +117909,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_br = 1'h0;
     endcase
@@ -117928,18 +117928,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sgn_ext = 1'h0;
     endcase
@@ -117947,18 +117947,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_rsrv = 1'h0;
     endcase
@@ -117966,18 +117966,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_form = 5'h08;
     endcase
@@ -117985,18 +117985,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_is_32b = 1'h1;
     endcase
@@ -118004,18 +118004,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sgn = 1'h0;
     endcase
@@ -118023,18 +118023,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_lk = 1'h0;
     endcase
@@ -118042,18 +118042,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_sgl_pipe = 1'h0;
     endcase
@@ -118061,18 +118061,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_SV_Etype = 2'h2;
     endcase
@@ -118080,18 +118080,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_SV_Ptype = 2'h1;
     endcase
@@ -118099,18 +118099,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_in1_sel = 3'h0;
     endcase
@@ -118118,18 +118118,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_in2_sel = 4'hb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_in2_sel = 4'h1;
     endcase
@@ -118137,18 +118137,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_in3_sel = 3'h1;
     endcase
@@ -118156,18 +118156,18 @@ module dec31_dec_sub24(dec31_dec_sub24_function_unit, dec31_dec_sub24_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub24_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub24_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub24_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub24_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub24_out_sel = 3'h2;
     endcase
@@ -118183,20 +118183,20 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub26_SV_Etype;
   reg [1:0] dec31_dec_sub26_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub26_SV_Ptype;
   reg [1:0] dec31_dec_sub26_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub26_asmcode;
   reg [7:0] dec31_dec_sub26_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_br;
   reg dec31_dec_sub26_br;
   (* enum_base_type = "CRInSel" *)
@@ -118208,7 +118208,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_cr_in;
   reg [2:0] dec31_dec_sub26_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -118218,17 +118218,17 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_cr_out;
   reg [2:0] dec31_dec_sub26_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub26_cry_in;
   reg [1:0] dec31_dec_sub26_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_cry_out;
   reg dec31_dec_sub26_cry_out;
   (* enum_base_type = "Form" *)
@@ -118262,7 +118262,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub26_form;
   reg [4:0] dec31_dec_sub26_form;
   (* enum_base_type = "Function" *)
@@ -118281,7 +118281,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub26_function_unit;
   reg [14:0] dec31_dec_sub26_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -118292,7 +118292,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_in1_sel;
   reg [2:0] dec31_dec_sub26_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -118311,7 +118311,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub26_in2_sel;
   reg [3:0] dec31_dec_sub26_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -118320,7 +118320,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_in3_sel;
   reg [2:0] dec31_dec_sub26_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -118400,16 +118400,16 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub26_internal_op;
   reg [6:0] dec31_dec_sub26_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_inv_a;
   reg dec31_dec_sub26_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_inv_out;
   reg dec31_dec_sub26_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_is_32b;
   reg dec31_dec_sub26_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -118418,10 +118418,10 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub26_ldst_len;
   reg [3:0] dec31_dec_sub26_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_lk;
   reg dec31_dec_sub26_lk;
   (* enum_base_type = "OutSel" *)
@@ -118431,26 +118431,26 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_out_sel;
   reg [2:0] dec31_dec_sub26_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub26_rc_sel;
   reg [1:0] dec31_dec_sub26_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_rsrv;
   reg dec31_dec_sub26_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_sgl_pipe;
   reg dec31_dec_sub26_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_sgn;
   reg dec31_dec_sub26_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub26_sgn_ext;
   reg dec31_dec_sub26_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -118460,7 +118460,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_cr_in;
   reg [2:0] dec31_dec_sub26_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -118470,7 +118470,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_cr_out;
   reg [2:0] dec31_dec_sub26_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -118480,7 +118480,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_in1;
   reg [2:0] dec31_dec_sub26_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -118490,7 +118490,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_in2;
   reg [2:0] dec31_dec_sub26_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -118500,7 +118500,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_in3;
   reg [2:0] dec31_dec_sub26_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -118510,7 +118510,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_out;
   reg [2:0] dec31_dec_sub26_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -118520,7 +118520,7 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub26_sv_out2;
   reg [2:0] dec31_dec_sub26_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -118528,61 +118528,61 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub26_upd;
   reg [1:0] dec31_dec_sub26_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_function_unit = 15'h0008;
     endcase
@@ -118590,51 +118590,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_cr_in = 3'h0;
     endcase
@@ -118642,51 +118642,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_cr_out = 3'h1;
     endcase
@@ -118694,51 +118694,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_in1 = 3'h0;
     endcase
@@ -118746,51 +118746,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_in2 = 3'h0;
     endcase
@@ -118798,51 +118798,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_in3 = 3'h2;
     endcase
@@ -118850,51 +118850,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_out = 3'h1;
     endcase
@@ -118902,51 +118902,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_out2 = 3'h0;
     endcase
@@ -118954,51 +118954,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_cr_in = 3'h0;
     endcase
@@ -119006,51 +119006,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sv_cr_out = 3'h1;
     endcase
@@ -119058,51 +119058,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_ldst_len = 4'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_ldst_len = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_ldst_len = 4'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_ldst_len = 4'h0;
     endcase
@@ -119110,51 +119110,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_internal_op = 7'h0e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_internal_op = 7'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_internal_op = 7'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_internal_op = 7'h1f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_internal_op = 7'h20;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_internal_op = 7'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_internal_op = 7'h37;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_internal_op = 7'h37;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_internal_op = 7'h3d;
     endcase
@@ -119162,51 +119162,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_upd = 2'h0;
     endcase
@@ -119214,51 +119214,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_rc_sel = 2'h2;
     endcase
@@ -119266,51 +119266,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_cry_in = 2'h0;
     endcase
@@ -119318,51 +119318,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_asmcode = 8'h21;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_asmcode = 8'h22;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_asmcode = 8'h23;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_asmcode = 8'h24;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_asmcode = 8'h44;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_asmcode = 8'h45;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_asmcode = 8'h46;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_asmcode = 8'h47;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_asmcode = 8'hac;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_asmcode = 8'had;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_asmcode = 8'hae;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_asmcode = 8'haf;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_asmcode = 8'hb0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_asmcode = 8'hc1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_asmcode = 8'hc2;
     endcase
@@ -119370,51 +119370,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_inv_a = 1'h0;
     endcase
@@ -119422,51 +119422,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_inv_out = 1'h0;
     endcase
@@ -119474,51 +119474,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_cry_out = 1'h1;
     endcase
@@ -119526,51 +119526,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_br = 1'h0;
     endcase
@@ -119578,51 +119578,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sgn_ext = 1'h0;
     endcase
@@ -119630,51 +119630,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_rsrv = 1'h0;
     endcase
@@ -119682,51 +119682,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_form = 5'h10;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_form = 5'h10;
     endcase
@@ -119734,51 +119734,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_is_32b = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_is_32b = 1'h0;
     endcase
@@ -119786,51 +119786,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sgn = 1'h1;
     endcase
@@ -119838,51 +119838,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_lk = 1'h0;
     endcase
@@ -119890,51 +119890,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_sgl_pipe = 1'h0;
     endcase
@@ -119942,51 +119942,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_SV_Etype = 2'h2;
     endcase
@@ -119994,51 +119994,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_SV_Ptype = 2'h2;
     endcase
@@ -120046,51 +120046,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_in1_sel = 3'h0;
     endcase
@@ -120098,51 +120098,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_in2_sel = 4'ha;
     endcase
@@ -120150,51 +120150,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_in3_sel = 3'h1;
     endcase
@@ -120202,51 +120202,51 @@ module dec31_dec_sub26(dec31_dec_sub26_function_unit, dec31_dec_sub26_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub26_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0b:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h05:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub26_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub26_out_sel = 3'h2;
     endcase
@@ -120262,20 +120262,20 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub27_SV_Etype;
   reg [1:0] dec31_dec_sub27_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub27_SV_Ptype;
   reg [1:0] dec31_dec_sub27_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub27_asmcode;
   reg [7:0] dec31_dec_sub27_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_br;
   reg dec31_dec_sub27_br;
   (* enum_base_type = "CRInSel" *)
@@ -120287,7 +120287,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_cr_in;
   reg [2:0] dec31_dec_sub27_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -120297,17 +120297,17 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_cr_out;
   reg [2:0] dec31_dec_sub27_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub27_cry_in;
   reg [1:0] dec31_dec_sub27_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_cry_out;
   reg dec31_dec_sub27_cry_out;
   (* enum_base_type = "Form" *)
@@ -120341,7 +120341,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub27_form;
   reg [4:0] dec31_dec_sub27_form;
   (* enum_base_type = "Function" *)
@@ -120360,7 +120360,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub27_function_unit;
   reg [14:0] dec31_dec_sub27_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -120371,7 +120371,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_in1_sel;
   reg [2:0] dec31_dec_sub27_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -120390,7 +120390,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub27_in2_sel;
   reg [3:0] dec31_dec_sub27_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -120399,7 +120399,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_in3_sel;
   reg [2:0] dec31_dec_sub27_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -120479,16 +120479,16 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub27_internal_op;
   reg [6:0] dec31_dec_sub27_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_inv_a;
   reg dec31_dec_sub27_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_inv_out;
   reg dec31_dec_sub27_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_is_32b;
   reg dec31_dec_sub27_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -120497,10 +120497,10 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub27_ldst_len;
   reg [3:0] dec31_dec_sub27_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_lk;
   reg dec31_dec_sub27_lk;
   (* enum_base_type = "OutSel" *)
@@ -120510,26 +120510,26 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_out_sel;
   reg [2:0] dec31_dec_sub27_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub27_rc_sel;
   reg [1:0] dec31_dec_sub27_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_rsrv;
   reg dec31_dec_sub27_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_sgl_pipe;
   reg dec31_dec_sub27_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_sgn;
   reg dec31_dec_sub27_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub27_sgn_ext;
   reg dec31_dec_sub27_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -120539,7 +120539,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_cr_in;
   reg [2:0] dec31_dec_sub27_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -120549,7 +120549,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_cr_out;
   reg [2:0] dec31_dec_sub27_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -120559,7 +120559,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_in1;
   reg [2:0] dec31_dec_sub27_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -120569,7 +120569,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_in2;
   reg [2:0] dec31_dec_sub27_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -120579,7 +120579,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_in3;
   reg [2:0] dec31_dec_sub27_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -120589,7 +120589,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_out;
   reg [2:0] dec31_dec_sub27_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -120599,7 +120599,7 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub27_sv_out2;
   reg [2:0] dec31_dec_sub27_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -120607,28 +120607,28 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub27_upd;
   reg [1:0] dec31_dec_sub27_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_function_unit = 15'h0008;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_function_unit = 15'h0008;
     endcase
@@ -120636,18 +120636,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_cr_in = 3'h0;
     endcase
@@ -120655,18 +120655,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_cr_out = 3'h1;
     endcase
@@ -120674,18 +120674,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_in1 = 3'h0;
     endcase
@@ -120693,18 +120693,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_in2 = 3'h2;
     endcase
@@ -120712,18 +120712,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_in3 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_in3 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_in3 = 3'h3;
     endcase
@@ -120731,18 +120731,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_out = 3'h1;
     endcase
@@ -120750,18 +120750,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_out2 = 3'h0;
     endcase
@@ -120769,18 +120769,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_cr_in = 3'h0;
     endcase
@@ -120788,18 +120788,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sv_cr_out = 3'h1;
     endcase
@@ -120807,18 +120807,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_ldst_len = 4'h0;
     endcase
@@ -120826,18 +120826,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_internal_op = 7'h20;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_internal_op = 7'h3c;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_internal_op = 7'h3d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_internal_op = 7'h3d;
     endcase
@@ -120845,18 +120845,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_upd = 2'h0;
     endcase
@@ -120864,18 +120864,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_rc_sel = 2'h2;
     endcase
@@ -120883,18 +120883,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_cry_in = 2'h0;
     endcase
@@ -120902,18 +120902,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_asmcode = 8'h47;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_asmcode = 8'hbf;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_asmcode = 8'hc2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_asmcode = 8'hc5;
     endcase
@@ -120921,18 +120921,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_inv_a = 1'h0;
     endcase
@@ -120940,18 +120940,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_inv_out = 1'h0;
     endcase
@@ -120959,18 +120959,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_cry_out = 1'h0;
     endcase
@@ -120978,18 +120978,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_br = 1'h0;
     endcase
@@ -120997,18 +120997,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sgn_ext = 1'h0;
     endcase
@@ -121016,18 +121016,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_rsrv = 1'h0;
     endcase
@@ -121035,18 +121035,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_form = 5'h10;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_form = 5'h10;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_form = 5'h08;
     endcase
@@ -121054,18 +121054,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_is_32b = 1'h0;
     endcase
@@ -121073,18 +121073,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sgn = 1'h0;
     endcase
@@ -121092,18 +121092,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_lk = 1'h0;
     endcase
@@ -121111,18 +121111,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_sgl_pipe = 1'h0;
     endcase
@@ -121130,18 +121130,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_SV_Etype = 2'h2;
     endcase
@@ -121149,18 +121149,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_SV_Ptype = 2'h1;
     endcase
@@ -121168,18 +121168,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_in1_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_in1_sel = 3'h0;
     endcase
@@ -121187,18 +121187,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_in2_sel = 4'ha;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_in2_sel = 4'h1;
     endcase
@@ -121206,18 +121206,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_in3_sel = 3'h1;
     endcase
@@ -121225,18 +121225,18 @@ module dec31_dec_sub27(dec31_dec_sub27_function_unit, dec31_dec_sub27_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub27_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1b:
           dec31_dec_sub27_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub27_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h19:
           dec31_dec_sub27_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub27_out_sel = 3'h2;
     endcase
@@ -121252,20 +121252,20 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub28_SV_Etype;
   reg [1:0] dec31_dec_sub28_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub28_SV_Ptype;
   reg [1:0] dec31_dec_sub28_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub28_asmcode;
   reg [7:0] dec31_dec_sub28_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_br;
   reg dec31_dec_sub28_br;
   (* enum_base_type = "CRInSel" *)
@@ -121277,7 +121277,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_cr_in;
   reg [2:0] dec31_dec_sub28_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -121287,17 +121287,17 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_cr_out;
   reg [2:0] dec31_dec_sub28_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub28_cry_in;
   reg [1:0] dec31_dec_sub28_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_cry_out;
   reg dec31_dec_sub28_cry_out;
   (* enum_base_type = "Form" *)
@@ -121331,7 +121331,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub28_form;
   reg [4:0] dec31_dec_sub28_form;
   (* enum_base_type = "Function" *)
@@ -121350,7 +121350,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub28_function_unit;
   reg [14:0] dec31_dec_sub28_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -121361,7 +121361,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_in1_sel;
   reg [2:0] dec31_dec_sub28_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -121380,7 +121380,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub28_in2_sel;
   reg [3:0] dec31_dec_sub28_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -121389,7 +121389,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_in3_sel;
   reg [2:0] dec31_dec_sub28_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -121469,16 +121469,16 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub28_internal_op;
   reg [6:0] dec31_dec_sub28_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_inv_a;
   reg dec31_dec_sub28_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_inv_out;
   reg dec31_dec_sub28_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_is_32b;
   reg dec31_dec_sub28_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -121487,10 +121487,10 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub28_ldst_len;
   reg [3:0] dec31_dec_sub28_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_lk;
   reg dec31_dec_sub28_lk;
   (* enum_base_type = "OutSel" *)
@@ -121500,26 +121500,26 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_out_sel;
   reg [2:0] dec31_dec_sub28_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub28_rc_sel;
   reg [1:0] dec31_dec_sub28_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_rsrv;
   reg dec31_dec_sub28_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_sgl_pipe;
   reg dec31_dec_sub28_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_sgn;
   reg dec31_dec_sub28_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub28_sgn_ext;
   reg dec31_dec_sub28_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -121529,7 +121529,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_cr_in;
   reg [2:0] dec31_dec_sub28_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -121539,7 +121539,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_cr_out;
   reg [2:0] dec31_dec_sub28_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -121549,7 +121549,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_in1;
   reg [2:0] dec31_dec_sub28_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -121559,7 +121559,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_in2;
   reg [2:0] dec31_dec_sub28_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -121569,7 +121569,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_in3;
   reg [2:0] dec31_dec_sub28_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -121579,7 +121579,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_out;
   reg [2:0] dec31_dec_sub28_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -121589,7 +121589,7 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub28_sv_out2;
   reg [2:0] dec31_dec_sub28_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -121597,46 +121597,46 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub28_upd;
   reg [1:0] dec31_dec_sub28_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_function_unit = 15'h0010;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_function_unit = 15'h0010;
     endcase
@@ -121644,36 +121644,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_cr_in = 3'h0;
     endcase
@@ -121681,36 +121681,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_cr_out = 3'h1;
     endcase
@@ -121718,36 +121718,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_in1 = 3'h3;
     endcase
@@ -121755,36 +121755,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_in2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_in2 = 3'h2;
     endcase
@@ -121792,36 +121792,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_in3 = 3'h0;
     endcase
@@ -121829,36 +121829,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_out = 3'h1;
     endcase
@@ -121866,36 +121866,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_out2 = 3'h0;
     endcase
@@ -121903,36 +121903,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_cr_in = 3'h0;
     endcase
@@ -121940,36 +121940,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sv_cr_out = 3'h1;
     endcase
@@ -121977,36 +121977,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_ldst_len = 4'h0;
     endcase
@@ -122014,36 +122014,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_internal_op = 7'h09;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_internal_op = 7'h0b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_internal_op = 7'h43;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_internal_op = 7'h04;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_internal_op = 7'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_internal_op = 7'h43;
     endcase
@@ -122051,36 +122051,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_upd = 2'h0;
     endcase
@@ -122088,36 +122088,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_rc_sel = 2'h2;
     endcase
@@ -122125,36 +122125,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_cry_in = 2'h0;
     endcase
@@ -122162,36 +122162,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_asmcode = 8'h0f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_asmcode = 8'h10;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_asmcode = 8'h19;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_asmcode = 8'h1b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_asmcode = 8'h43;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_asmcode = 8'ha3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_asmcode = 8'ha7;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_asmcode = 8'ha8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_asmcode = 8'ha9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_asmcode = 8'hfa;
     endcase
@@ -122199,36 +122199,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_inv_a = 1'h0;
     endcase
@@ -122236,36 +122236,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_inv_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_inv_out = 1'h0;
     endcase
@@ -122273,36 +122273,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_cry_out = 1'h0;
     endcase
@@ -122310,36 +122310,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_br = 1'h0;
     endcase
@@ -122347,36 +122347,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sgn_ext = 1'h0;
     endcase
@@ -122384,36 +122384,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_rsrv = 1'h0;
     endcase
@@ -122421,36 +122421,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_form = 5'h08;
     endcase
@@ -122458,36 +122458,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_is_32b = 1'h0;
     endcase
@@ -122495,36 +122495,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sgn = 1'h0;
     endcase
@@ -122532,36 +122532,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_lk = 1'h0;
     endcase
@@ -122569,36 +122569,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_sgl_pipe = 1'h0;
     endcase
@@ -122606,36 +122606,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_SV_Etype = 2'h2;
     endcase
@@ -122643,36 +122643,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_SV_Ptype = 2'h1;
     endcase
@@ -122680,36 +122680,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_in1_sel = 3'h4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_in1_sel = 3'h4;
     endcase
@@ -122717,36 +122717,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_in2_sel = 4'h1;
     endcase
@@ -122754,36 +122754,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_in3_sel = 3'h0;
     endcase
@@ -122791,36 +122791,36 @@ module dec31_dec_sub28(dec31_dec_sub28_function_unit, dec31_dec_sub28_internal_o
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub28_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub28_out_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h09:
           dec31_dec_sub28_out_sel = 3'h2;
     endcase
@@ -122836,20 +122836,20 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub4_SV_Etype;
   reg [1:0] dec31_dec_sub4_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub4_SV_Ptype;
   reg [1:0] dec31_dec_sub4_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub4_asmcode;
   reg [7:0] dec31_dec_sub4_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_br;
   reg dec31_dec_sub4_br;
   (* enum_base_type = "CRInSel" *)
@@ -122861,7 +122861,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_cr_in;
   reg [2:0] dec31_dec_sub4_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -122871,17 +122871,17 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_cr_out;
   reg [2:0] dec31_dec_sub4_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub4_cry_in;
   reg [1:0] dec31_dec_sub4_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_cry_out;
   reg dec31_dec_sub4_cry_out;
   (* enum_base_type = "Form" *)
@@ -122915,7 +122915,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub4_form;
   reg [4:0] dec31_dec_sub4_form;
   (* enum_base_type = "Function" *)
@@ -122934,7 +122934,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub4_function_unit;
   reg [14:0] dec31_dec_sub4_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -122945,7 +122945,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_in1_sel;
   reg [2:0] dec31_dec_sub4_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -122964,7 +122964,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub4_in2_sel;
   reg [3:0] dec31_dec_sub4_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -122973,7 +122973,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_in3_sel;
   reg [2:0] dec31_dec_sub4_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -123053,16 +123053,16 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub4_internal_op;
   reg [6:0] dec31_dec_sub4_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_inv_a;
   reg dec31_dec_sub4_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_inv_out;
   reg dec31_dec_sub4_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_is_32b;
   reg dec31_dec_sub4_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -123071,10 +123071,10 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub4_ldst_len;
   reg [3:0] dec31_dec_sub4_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_lk;
   reg dec31_dec_sub4_lk;
   (* enum_base_type = "OutSel" *)
@@ -123084,26 +123084,26 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_out_sel;
   reg [2:0] dec31_dec_sub4_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub4_rc_sel;
   reg [1:0] dec31_dec_sub4_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_rsrv;
   reg dec31_dec_sub4_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_sgl_pipe;
   reg dec31_dec_sub4_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_sgn;
   reg dec31_dec_sub4_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub4_sgn_ext;
   reg dec31_dec_sub4_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -123113,7 +123113,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_cr_in;
   reg [2:0] dec31_dec_sub4_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -123123,7 +123123,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_cr_out;
   reg [2:0] dec31_dec_sub4_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -123133,7 +123133,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_in1;
   reg [2:0] dec31_dec_sub4_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -123143,7 +123143,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_in2;
   reg [2:0] dec31_dec_sub4_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -123153,7 +123153,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_in3;
   reg [2:0] dec31_dec_sub4_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -123163,7 +123163,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_out;
   reg [2:0] dec31_dec_sub4_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -123173,7 +123173,7 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub4_sv_out2;
   reg [2:0] dec31_dec_sub4_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -123181,22 +123181,22 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub4_upd;
   reg [1:0] dec31_dec_sub4_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_function_unit = 15'h0080;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_function_unit = 15'h0080;
     endcase
@@ -123204,12 +123204,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_cr_in = 3'h0;
     endcase
@@ -123217,12 +123217,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_cr_out = 3'h0;
     endcase
@@ -123230,12 +123230,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_in1 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_in1 = 3'h0;
     endcase
@@ -123243,12 +123243,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_in2 = 3'h0;
     endcase
@@ -123256,12 +123256,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_in3 = 3'h0;
     endcase
@@ -123269,12 +123269,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_out = 3'h0;
     endcase
@@ -123282,12 +123282,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_out2 = 3'h0;
     endcase
@@ -123295,12 +123295,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_cr_in = 3'h0;
     endcase
@@ -123308,12 +123308,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sv_cr_out = 3'h0;
     endcase
@@ -123321,12 +123321,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_ldst_len = 4'h0;
     endcase
@@ -123334,12 +123334,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_internal_op = 7'h3f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_internal_op = 7'h3f;
     endcase
@@ -123347,12 +123347,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_upd = 2'h0;
     endcase
@@ -123360,12 +123360,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_rc_sel = 2'h0;
     endcase
@@ -123373,12 +123373,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_cry_in = 2'h0;
     endcase
@@ -123386,12 +123386,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_asmcode = 8'hf4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_asmcode = 8'hf8;
     endcase
@@ -123399,12 +123399,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_inv_a = 1'h0;
     endcase
@@ -123412,12 +123412,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_inv_out = 1'h0;
     endcase
@@ -123425,12 +123425,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_cry_out = 1'h0;
     endcase
@@ -123438,12 +123438,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_br = 1'h0;
     endcase
@@ -123451,12 +123451,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sgn_ext = 1'h0;
     endcase
@@ -123464,12 +123464,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_rsrv = 1'h0;
     endcase
@@ -123477,12 +123477,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_form = 5'h08;
     endcase
@@ -123490,12 +123490,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_is_32b = 1'h1;
     endcase
@@ -123503,12 +123503,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sgn = 1'h0;
     endcase
@@ -123516,12 +123516,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_lk = 1'h0;
     endcase
@@ -123529,12 +123529,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_sgl_pipe = 1'h1;
     endcase
@@ -123542,12 +123542,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_SV_Etype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_SV_Etype = 2'h0;
     endcase
@@ -123555,12 +123555,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_SV_Ptype = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_SV_Ptype = 2'h0;
     endcase
@@ -123568,12 +123568,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_in1_sel = 3'h1;
     endcase
@@ -123581,12 +123581,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_in2_sel = 4'h1;
     endcase
@@ -123594,12 +123594,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_in3_sel = 3'h0;
     endcase
@@ -123607,12 +123607,12 @@ module dec31_dec_sub4(dec31_dec_sub4_function_unit, dec31_dec_sub4_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub4_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub4_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub4_out_sel = 3'h0;
     endcase
@@ -123628,20 +123628,20 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub8_SV_Etype;
   reg [1:0] dec31_dec_sub8_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub8_SV_Ptype;
   reg [1:0] dec31_dec_sub8_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub8_asmcode;
   reg [7:0] dec31_dec_sub8_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_br;
   reg dec31_dec_sub8_br;
   (* enum_base_type = "CRInSel" *)
@@ -123653,7 +123653,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_cr_in;
   reg [2:0] dec31_dec_sub8_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -123663,17 +123663,17 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_cr_out;
   reg [2:0] dec31_dec_sub8_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub8_cry_in;
   reg [1:0] dec31_dec_sub8_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_cry_out;
   reg dec31_dec_sub8_cry_out;
   (* enum_base_type = "Form" *)
@@ -123707,7 +123707,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub8_form;
   reg [4:0] dec31_dec_sub8_form;
   (* enum_base_type = "Function" *)
@@ -123726,7 +123726,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub8_function_unit;
   reg [14:0] dec31_dec_sub8_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -123737,7 +123737,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_in1_sel;
   reg [2:0] dec31_dec_sub8_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -123756,7 +123756,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub8_in2_sel;
   reg [3:0] dec31_dec_sub8_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -123765,7 +123765,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_in3_sel;
   reg [2:0] dec31_dec_sub8_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -123845,16 +123845,16 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub8_internal_op;
   reg [6:0] dec31_dec_sub8_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_inv_a;
   reg dec31_dec_sub8_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_inv_out;
   reg dec31_dec_sub8_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_is_32b;
   reg dec31_dec_sub8_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -123863,10 +123863,10 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub8_ldst_len;
   reg [3:0] dec31_dec_sub8_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_lk;
   reg dec31_dec_sub8_lk;
   (* enum_base_type = "OutSel" *)
@@ -123876,26 +123876,26 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_out_sel;
   reg [2:0] dec31_dec_sub8_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub8_rc_sel;
   reg [1:0] dec31_dec_sub8_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_rsrv;
   reg dec31_dec_sub8_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_sgl_pipe;
   reg dec31_dec_sub8_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_sgn;
   reg dec31_dec_sub8_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub8_sgn_ext;
   reg dec31_dec_sub8_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -123905,7 +123905,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_cr_in;
   reg [2:0] dec31_dec_sub8_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -123915,7 +123915,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_cr_out;
   reg [2:0] dec31_dec_sub8_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -123925,7 +123925,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_in1;
   reg [2:0] dec31_dec_sub8_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -123935,7 +123935,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_in2;
   reg [2:0] dec31_dec_sub8_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -123945,7 +123945,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_in3;
   reg [2:0] dec31_dec_sub8_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -123955,7 +123955,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_out;
   reg [2:0] dec31_dec_sub8_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -123965,7 +123965,7 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub8_sv_out2;
   reg [2:0] dec31_dec_sub8_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -123973,52 +123973,52 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub8_upd;
   reg [1:0] dec31_dec_sub8_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_function_unit = 15'h0002;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_function_unit = 15'h0002;
     endcase
@@ -124026,42 +124026,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_cr_in = 3'h0;
     endcase
@@ -124069,42 +124069,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_cr_out = 3'h1;
     endcase
@@ -124112,42 +124112,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_in1 = 3'h2;
     endcase
@@ -124155,42 +124155,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_in2 = 3'h0;
     endcase
@@ -124198,42 +124198,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_in3 = 3'h0;
     endcase
@@ -124241,42 +124241,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_out = 3'h1;
     endcase
@@ -124284,42 +124284,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_out2 = 3'h0;
     endcase
@@ -124327,42 +124327,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_cr_in = 3'h0;
     endcase
@@ -124370,42 +124370,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sv_cr_out = 3'h1;
     endcase
@@ -124413,42 +124413,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_ldst_len = 4'h0;
     endcase
@@ -124456,42 +124456,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_internal_op = 7'h02;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_internal_op = 7'h02;
     endcase
@@ -124499,42 +124499,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_upd = 2'h0;
     endcase
@@ -124542,42 +124542,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_rc_sel = 2'h2;
     endcase
@@ -124585,42 +124585,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_cry_in = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_cry_in = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_cry_in = 2'h2;
     endcase
@@ -124628,42 +124628,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_asmcode = 8'ha4;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_asmcode = 8'ha5;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_asmcode = 8'he8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_asmcode = 8'hf0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_asmcode = 8'he9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_asmcode = 8'hea;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_asmcode = 8'heb;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_asmcode = 8'hec;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_asmcode = 8'hee;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_asmcode = 8'hef;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_asmcode = 8'hf1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_asmcode = 8'hf2;
     endcase
@@ -124671,42 +124671,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_inv_a = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_inv_a = 1'h1;
     endcase
@@ -124714,42 +124714,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_inv_out = 1'h0;
     endcase
@@ -124757,42 +124757,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_cry_out = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_cry_out = 1'h1;
     endcase
@@ -124800,42 +124800,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_br = 1'h0;
     endcase
@@ -124843,42 +124843,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sgn_ext = 1'h0;
     endcase
@@ -124886,42 +124886,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_rsrv = 1'h0;
     endcase
@@ -124929,42 +124929,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_form = 5'h11;
     endcase
@@ -124972,42 +124972,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_is_32b = 1'h0;
     endcase
@@ -125015,42 +125015,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sgn = 1'h0;
     endcase
@@ -125058,42 +125058,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_lk = 1'h0;
     endcase
@@ -125101,42 +125101,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_sgl_pipe = 1'h0;
     endcase
@@ -125144,42 +125144,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_SV_Etype = 2'h2;
     endcase
@@ -125187,42 +125187,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_SV_Ptype = 2'h2;
     endcase
@@ -125230,42 +125230,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_in1_sel = 3'h1;
     endcase
@@ -125273,42 +125273,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_in2_sel = 4'h9;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_in2_sel = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_in2_sel = 4'h0;
     endcase
@@ -125316,42 +125316,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_in3_sel = 3'h0;
     endcase
@@ -125359,42 +125359,42 @@ module dec31_dec_sub8(dec31_dec_sub8_function_unit, dec31_dec_sub8_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub8_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h03:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h13:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h01:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h11:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h04:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h14:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h06:
           dec31_dec_sub8_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h16:
           dec31_dec_sub8_out_sel = 3'h1;
     endcase
@@ -125410,20 +125410,20 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub9_SV_Etype;
   reg [1:0] dec31_dec_sub9_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub9_SV_Ptype;
   reg [1:0] dec31_dec_sub9_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec31_dec_sub9_asmcode;
   reg [7:0] dec31_dec_sub9_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_br;
   reg dec31_dec_sub9_br;
   (* enum_base_type = "CRInSel" *)
@@ -125435,7 +125435,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_cr_in;
   reg [2:0] dec31_dec_sub9_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -125445,17 +125445,17 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_cr_out;
   reg [2:0] dec31_dec_sub9_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub9_cry_in;
   reg [1:0] dec31_dec_sub9_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_cry_out;
   reg dec31_dec_sub9_cry_out;
   (* enum_base_type = "Form" *)
@@ -125489,7 +125489,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec31_dec_sub9_form;
   reg [4:0] dec31_dec_sub9_form;
   (* enum_base_type = "Function" *)
@@ -125508,7 +125508,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec31_dec_sub9_function_unit;
   reg [14:0] dec31_dec_sub9_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -125519,7 +125519,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_in1_sel;
   reg [2:0] dec31_dec_sub9_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -125538,7 +125538,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub9_in2_sel;
   reg [3:0] dec31_dec_sub9_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -125547,7 +125547,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_in3_sel;
   reg [2:0] dec31_dec_sub9_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -125627,16 +125627,16 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec31_dec_sub9_internal_op;
   reg [6:0] dec31_dec_sub9_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_inv_a;
   reg dec31_dec_sub9_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_inv_out;
   reg dec31_dec_sub9_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_is_32b;
   reg dec31_dec_sub9_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -125645,10 +125645,10 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec31_dec_sub9_ldst_len;
   reg [3:0] dec31_dec_sub9_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_lk;
   reg dec31_dec_sub9_lk;
   (* enum_base_type = "OutSel" *)
@@ -125658,26 +125658,26 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_out_sel;
   reg [2:0] dec31_dec_sub9_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub9_rc_sel;
   reg [1:0] dec31_dec_sub9_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_rsrv;
   reg dec31_dec_sub9_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_sgl_pipe;
   reg dec31_dec_sub9_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_sgn;
   reg dec31_dec_sub9_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec31_dec_sub9_sgn_ext;
   reg dec31_dec_sub9_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -125687,7 +125687,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_cr_in;
   reg [2:0] dec31_dec_sub9_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -125697,7 +125697,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_cr_out;
   reg [2:0] dec31_dec_sub9_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -125707,7 +125707,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_in1;
   reg [2:0] dec31_dec_sub9_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -125717,7 +125717,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_in2;
   reg [2:0] dec31_dec_sub9_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -125727,7 +125727,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_in3;
   reg [2:0] dec31_dec_sub9_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -125737,7 +125737,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_out;
   reg [2:0] dec31_dec_sub9_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -125747,7 +125747,7 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec31_dec_sub9_sv_out2;
   reg [2:0] dec31_dec_sub9_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -125755,64 +125755,64 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec31_dec_sub9_upd;
   reg [1:0] dec31_dec_sub9_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [4:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_function_unit = 15'h0200;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_function_unit = 15'h0100;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_function_unit = 15'h0100;
     endcase
@@ -125820,54 +125820,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_cr_in = 3'h0;
     endcase
@@ -125875,54 +125875,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_cr_out = 3'h1;
     endcase
@@ -125930,54 +125930,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_in1 = 3'h2;
     endcase
@@ -125985,54 +125985,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_in2 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_in2 = 3'h3;
     endcase
@@ -126040,54 +126040,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_in3 = 3'h0;
     endcase
@@ -126095,54 +126095,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_out = 3'h1;
     endcase
@@ -126150,54 +126150,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_out2 = 3'h0;
     endcase
@@ -126205,54 +126205,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_cr_in = 3'h0;
     endcase
@@ -126260,54 +126260,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sv_cr_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sv_cr_out = 3'h1;
     endcase
@@ -126315,54 +126315,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_ldst_len = 4'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_ldst_len = 4'h0;
     endcase
@@ -126370,54 +126370,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_internal_op = 7'h1e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_internal_op = 7'h1d;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_internal_op = 7'h2f;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_internal_op = 7'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_internal_op = 7'h32;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_internal_op = 7'h32;
     endcase
@@ -126425,54 +126425,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_upd = 2'h0;
     endcase
@@ -126480,54 +126480,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_rc_sel = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_rc_sel = 2'h2;
     endcase
@@ -126535,54 +126535,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_cry_in = 2'h0;
     endcase
@@ -126590,54 +126590,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_asmcode = 8'h36;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_asmcode = 8'h37;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_asmcode = 8'h34;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_asmcode = 8'h35;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_asmcode = 8'h39;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_asmcode = 8'h3a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_asmcode = 8'h33;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_asmcode = 8'h38;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_asmcode = 8'h94;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_asmcode = 8'h92;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_asmcode = 8'h9a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_asmcode = 8'h9b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_asmcode = 8'h9a;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_asmcode = 8'h9b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_asmcode = 8'h9e;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_asmcode = 8'h9f;
     endcase
@@ -126645,54 +126645,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_inv_a = 1'h0;
     endcase
@@ -126700,54 +126700,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_inv_out = 1'h0;
     endcase
@@ -126755,54 +126755,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_cry_out = 1'h0;
     endcase
@@ -126810,54 +126810,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_br = 1'h0;
     endcase
@@ -126865,54 +126865,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sgn_ext = 1'h0;
     endcase
@@ -126920,54 +126920,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_rsrv = 1'h0;
     endcase
@@ -126975,54 +126975,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_form = 5'h08;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_form = 5'h11;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_form = 5'h11;
     endcase
@@ -127030,54 +127030,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_is_32b = 1'h0;
     endcase
@@ -127085,54 +127085,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sgn = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sgn = 1'h1;
     endcase
@@ -127140,54 +127140,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_lk = 1'h0;
     endcase
@@ -127195,54 +127195,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_sgl_pipe = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_sgl_pipe = 1'h0;
     endcase
@@ -127250,54 +127250,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_SV_Etype = 2'h2;
     endcase
@@ -127305,54 +127305,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_SV_Ptype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_SV_Ptype = 2'h1;
     endcase
@@ -127360,54 +127360,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_in1_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_in1_sel = 3'h1;
     endcase
@@ -127415,54 +127415,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_in2_sel = 4'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_in2_sel = 4'h1;
     endcase
@@ -127470,54 +127470,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_in3_sel = 3'h0;
     endcase
@@ -127525,54 +127525,54 @@ module dec31_dec_sub9(dec31_dec_sub9_function_unit, dec31_dec_sub9_internal_op,
   always @* begin
     if (\initial ) begin end
     dec31_dec_sub9_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0c:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1c:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0d:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1d:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0e:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1e:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h0f:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h1f:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h08:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h18:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h02:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h00:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h12:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h10:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h07:
           dec31_dec_sub9_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       5'h17:
           dec31_dec_sub9_out_sel = 3'h1;
     endcase
@@ -127588,20 +127588,20 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec58_SV_Etype;
   reg [1:0] dec58_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec58_SV_Ptype;
   reg [1:0] dec58_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec58_asmcode;
   reg [7:0] dec58_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_br;
   reg dec58_br;
   (* enum_base_type = "CRInSel" *)
@@ -127613,7 +127613,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_cr_in;
   reg [2:0] dec58_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -127623,17 +127623,17 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_cr_out;
   reg [2:0] dec58_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec58_cry_in;
   reg [1:0] dec58_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_cry_out;
   reg dec58_cry_out;
   (* enum_base_type = "Form" *)
@@ -127667,7 +127667,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec58_form;
   reg [4:0] dec58_form;
   (* enum_base_type = "Function" *)
@@ -127686,7 +127686,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec58_function_unit;
   reg [14:0] dec58_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -127697,7 +127697,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_in1_sel;
   reg [2:0] dec58_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -127716,7 +127716,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec58_in2_sel;
   reg [3:0] dec58_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -127725,7 +127725,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_in3_sel;
   reg [2:0] dec58_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -127805,16 +127805,16 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec58_internal_op;
   reg [6:0] dec58_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_inv_a;
   reg dec58_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_inv_out;
   reg dec58_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_is_32b;
   reg dec58_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -127823,10 +127823,10 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec58_ldst_len;
   reg [3:0] dec58_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_lk;
   reg dec58_lk;
   (* enum_base_type = "OutSel" *)
@@ -127836,26 +127836,26 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_out_sel;
   reg [2:0] dec58_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec58_rc_sel;
   reg [1:0] dec58_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_rsrv;
   reg dec58_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_sgl_pipe;
   reg dec58_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_sgn;
   reg dec58_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec58_sgn_ext;
   reg dec58_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -127865,7 +127865,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_cr_in;
   reg [2:0] dec58_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -127875,7 +127875,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_cr_out;
   reg [2:0] dec58_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -127885,7 +127885,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_in1;
   reg [2:0] dec58_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -127895,7 +127895,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_in2;
   reg [2:0] dec58_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -127905,7 +127905,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_in3;
   reg [2:0] dec58_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -127915,7 +127915,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_out;
   reg [2:0] dec58_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -127925,7 +127925,7 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec58_sv_out2;
   reg [2:0] dec58_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -127933,25 +127933,25 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec58_upd;
   reg [1:0] dec58_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [1:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec58_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_function_unit = 15'h0004;
     endcase
@@ -127959,15 +127959,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_cr_in = 3'h0;
     endcase
@@ -127975,15 +127975,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_cr_out = 3'h0;
     endcase
@@ -127991,15 +127991,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_in1 = 3'h3;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_in1 = 3'h2;
     endcase
@@ -128007,15 +128007,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_in2 = 3'h0;
     endcase
@@ -128023,15 +128023,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_in3 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_in3 = 3'h0;
     endcase
@@ -128039,15 +128039,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_out = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_out = 3'h1;
     endcase
@@ -128055,15 +128055,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_out2 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_out2 = 3'h0;
     endcase
@@ -128071,15 +128071,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_cr_in = 3'h0;
     endcase
@@ -128087,15 +128087,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sv_cr_out = 3'h0;
     endcase
@@ -128103,15 +128103,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_ldst_len = 4'h4;
     endcase
@@ -128119,15 +128119,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_internal_op = 7'h25;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_internal_op = 7'h25;
     endcase
@@ -128135,15 +128135,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_upd = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_upd = 2'h0;
     endcase
@@ -128151,15 +128151,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_rc_sel = 2'h0;
     endcase
@@ -128167,15 +128167,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_cry_in = 2'h0;
     endcase
@@ -128183,15 +128183,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_asmcode = 8'h68;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_asmcode = 8'h6b;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_asmcode = 8'h82;
     endcase
@@ -128199,15 +128199,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_inv_a = 1'h0;
     endcase
@@ -128215,15 +128215,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_inv_out = 1'h0;
     endcase
@@ -128231,15 +128231,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_cry_out = 1'h0;
     endcase
@@ -128247,15 +128247,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_br = 1'h0;
     endcase
@@ -128263,15 +128263,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sgn_ext = 1'h1;
     endcase
@@ -128279,15 +128279,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_rsrv = 1'h0;
     endcase
@@ -128295,15 +128295,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_form = 5'h05;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_form = 5'h05;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_form = 5'h05;
     endcase
@@ -128311,15 +128311,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_is_32b = 1'h0;
     endcase
@@ -128327,15 +128327,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sgn = 1'h0;
     endcase
@@ -128343,15 +128343,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_lk = 1'h0;
     endcase
@@ -128359,15 +128359,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_sgl_pipe = 1'h1;
     endcase
@@ -128375,15 +128375,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_SV_Etype = 2'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_SV_Etype = 2'h2;
     endcase
@@ -128391,15 +128391,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_SV_Ptype = 2'h2;
     endcase
@@ -128407,15 +128407,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_in1_sel = 3'h2;
     endcase
@@ -128423,15 +128423,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_in2_sel = 4'h8;
     endcase
@@ -128439,15 +128439,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_in3_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_in3_sel = 3'h0;
     endcase
@@ -128455,15 +128455,15 @@ module dec58(dec58_function_unit, dec58_internal_op, dec58_form, dec58_asmcode,
   always @* begin
     if (\initial ) begin end
     dec58_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec58_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec58_out_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h2:
           dec58_out_sel = 3'h1;
     endcase
@@ -128479,20 +128479,20 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "EXTRA2" *)
   (* enum_value_10 = "EXTRA3" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec62_SV_Etype;
   reg [1:0] dec62_SV_Etype;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "P1" *)
   (* enum_value_10 = "P2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec62_SV_Ptype;
   reg [1:0] dec62_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [7:0] dec62_asmcode;
   reg [7:0] dec62_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_br;
   reg dec62_br;
   (* enum_base_type = "CRInSel" *)
@@ -128504,7 +128504,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_cr_in;
   reg [2:0] dec62_cr_in;
   (* enum_base_type = "CROutSel" *)
@@ -128514,17 +128514,17 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_cr_out;
   reg [2:0] dec62_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec62_cry_in;
   reg [1:0] dec62_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_cry_out;
   reg dec62_cry_out;
   (* enum_base_type = "Form" *)
@@ -128558,7 +128558,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_11011 = "Z22" *)
   (* enum_value_11100 = "Z23" *)
   (* enum_value_11101 = "SVL" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [4:0] dec62_form;
   reg [4:0] dec62_form;
   (* enum_base_type = "Function" *)
@@ -128577,7 +128577,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [14:0] dec62_function_unit;
   reg [14:0] dec62_function_unit;
   (* enum_base_type = "In1Sel" *)
@@ -128588,7 +128588,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_in1_sel;
   reg [2:0] dec62_in1_sel;
   (* enum_base_type = "In2Sel" *)
@@ -128607,7 +128607,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec62_in2_sel;
   reg [3:0] dec62_in2_sel;
   (* enum_base_type = "In3Sel" *)
@@ -128616,7 +128616,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_010 = "RB" *)
   (* enum_value_011 = "FRS" *)
   (* enum_value_100 = "FRC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_in3_sel;
   reg [2:0] dec62_in3_sel;
   (* enum_base_type = "MicrOp" *)
@@ -128696,16 +128696,16 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [6:0] dec62_internal_op;
   reg [6:0] dec62_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_inv_a;
   reg dec62_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_inv_out;
   reg dec62_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_is_32b;
   reg dec62_is_32b;
   (* enum_base_type = "LdstLen" *)
@@ -128714,10 +128714,10 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [3:0] dec62_ldst_len;
   reg [3:0] dec62_ldst_len;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_lk;
   reg dec62_lk;
   (* enum_base_type = "OutSel" *)
@@ -128727,26 +128727,26 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "SPR" *)
   (* enum_value_100 = "RT_OR_ZERO" *)
   (* enum_value_101 = "FRT" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_out_sel;
   reg [2:0] dec62_out_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec62_rc_sel;
   reg [1:0] dec62_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_rsrv;
   reg dec62_rsrv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_sgl_pipe;
   reg dec62_sgl_pipe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_sgn;
   reg dec62_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   output dec62_sgn_ext;
   reg dec62_sgn_ext;
   (* enum_base_type = "SVEXTRA" *)
@@ -128756,7 +128756,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_cr_in;
   reg [2:0] dec62_sv_cr_in;
   (* enum_base_type = "SVEXTRA" *)
@@ -128766,7 +128766,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_cr_out;
   reg [2:0] dec62_sv_cr_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -128776,7 +128776,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_in1;
   reg [2:0] dec62_sv_in1;
   (* enum_base_type = "SVEXTRA" *)
@@ -128786,7 +128786,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_in2;
   reg [2:0] dec62_sv_in2;
   (* enum_base_type = "SVEXTRA" *)
@@ -128796,7 +128796,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_in3;
   reg [2:0] dec62_sv_in3;
   (* enum_base_type = "SVEXTRA" *)
@@ -128806,7 +128806,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_out;
   reg [2:0] dec62_sv_out;
   (* enum_base_type = "SVEXTRA" *)
@@ -128816,7 +128816,7 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_011 = "Idx2" *)
   (* enum_value_100 = "Idx3" *)
   (* enum_value_101 = "Idx_1_2" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [2:0] dec62_sv_out2;
   reg [2:0] dec62_sv_out2;
   (* enum_base_type = "LDSTMode" *)
@@ -128824,22 +128824,22 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   output [1:0] dec62_upd;
   reg [1:0] dec62_upd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   input [31:0] opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:348" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:350" *)
   wire [1:0] opcode_switch;
   always @* begin
     if (\initial ) begin end
     dec62_function_unit = 15'h0000;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_function_unit = 15'h0004;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_function_unit = 15'h0004;
     endcase
@@ -128847,12 +128847,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_cr_in = 3'h0;
     endcase
@@ -128860,12 +128860,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_cr_out = 3'h0;
     endcase
@@ -128873,12 +128873,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_in1 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_in1 = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_in1 = 3'h3;
     endcase
@@ -128886,12 +128886,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_in2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_in2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_in2 = 3'h0;
     endcase
@@ -128899,12 +128899,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_in3 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_in3 = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_in3 = 3'h2;
     endcase
@@ -128912,12 +128912,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_out = 3'h0;
     endcase
@@ -128925,12 +128925,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_out2 = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_out2 = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_out2 = 3'h1;
     endcase
@@ -128938,12 +128938,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_cr_in = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_cr_in = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_cr_in = 3'h0;
     endcase
@@ -128951,12 +128951,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sv_cr_out = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sv_cr_out = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sv_cr_out = 3'h0;
     endcase
@@ -128964,12 +128964,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_ldst_len = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_ldst_len = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_ldst_len = 4'h8;
     endcase
@@ -128977,12 +128977,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_internal_op = 7'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_internal_op = 7'h26;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_internal_op = 7'h26;
     endcase
@@ -128990,12 +128990,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_upd = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_upd = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_upd = 2'h1;
     endcase
@@ -129003,12 +129003,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_rc_sel = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_rc_sel = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_rc_sel = 2'h0;
     endcase
@@ -129016,12 +129016,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_cry_in = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_cry_in = 2'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_cry_in = 2'h0;
     endcase
@@ -129029,12 +129029,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_asmcode = 8'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_asmcode = 8'hcd;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_asmcode = 8'hd0;
     endcase
@@ -129042,12 +129042,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_inv_a = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_inv_a = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_inv_a = 1'h0;
     endcase
@@ -129055,12 +129055,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_inv_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_inv_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_inv_out = 1'h0;
     endcase
@@ -129068,12 +129068,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_cry_out = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_cry_out = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_cry_out = 1'h0;
     endcase
@@ -129081,12 +129081,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_br = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_br = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_br = 1'h0;
     endcase
@@ -129094,12 +129094,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sgn_ext = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sgn_ext = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sgn_ext = 1'h0;
     endcase
@@ -129107,12 +129107,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_rsrv = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_rsrv = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_rsrv = 1'h0;
     endcase
@@ -129120,12 +129120,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_form = 5'h00;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_form = 5'h05;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_form = 5'h05;
     endcase
@@ -129133,12 +129133,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_is_32b = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_is_32b = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_is_32b = 1'h0;
     endcase
@@ -129146,12 +129146,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sgn = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sgn = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sgn = 1'h0;
     endcase
@@ -129159,12 +129159,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_lk = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_lk = 1'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_lk = 1'h0;
     endcase
@@ -129172,12 +129172,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_sgl_pipe = 1'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_sgl_pipe = 1'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_sgl_pipe = 1'h1;
     endcase
@@ -129185,12 +129185,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_SV_Etype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_SV_Etype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_SV_Etype = 2'h1;
     endcase
@@ -129198,12 +129198,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_SV_Ptype = 2'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_SV_Ptype = 2'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_SV_Ptype = 2'h2;
     endcase
@@ -129211,12 +129211,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_in1_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_in1_sel = 3'h2;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_in1_sel = 3'h2;
     endcase
@@ -129224,12 +129224,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_in2_sel = 4'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_in2_sel = 4'h8;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_in2_sel = 4'h8;
     endcase
@@ -129237,12 +129237,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_in3_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_in3_sel = 3'h1;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_in3_sel = 3'h1;
     endcase
@@ -129250,12 +129250,12 @@ module dec62(dec62_function_unit, dec62_internal_op, dec62_form, dec62_asmcode,
   always @* begin
     if (\initial ) begin end
     dec62_out_sel = 3'h0;
-    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:456" *)
+    (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" *)
     casez (opcode_switch)
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h0:
           dec62_out_sel = 3'h0;
-      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:458" */
+      /* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:460" */
       2'h1:
           dec62_out_sel = 3'h0;
     endcase
@@ -129451,27 +129451,27 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   reg ALU__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output ALU__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_ALU_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_ALU_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_ALU_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_ALU_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_ALU_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_ALU_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_ALU_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_ALU_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_ALU_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_ALU_UI;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -129480,15 +129480,15 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_ALU_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_ALU_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_ALU_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -129506,7 +129506,7 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_ALU_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -129516,7 +129516,7 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_ALU_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -129534,7 +129534,7 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_ALU_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -129613,13 +129613,13 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_ALU_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_ALU_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_ALU_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_ALU_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -129627,17 +129627,17 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_ALU_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_ALU_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_ALU_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_ALU_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   wire dec_ai_immz_out;
@@ -129653,9 +129653,9 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   wire [2:0] dec_ai_sel_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
   wire dec_ai_sv_nz;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -129675,9 +129675,9 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -129685,11 +129685,11 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -129705,7 +129705,7 @@ module dec_ALU(bigendian, sv_a_nz, ALU__insn_type, ALU__fn_unit, ALU__imm_data__
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -130027,29 +130027,29 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output BRANCH__lk;
   reg BRANCH__lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
   input [63:0] core_pc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_BRANCH_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_BRANCH_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_BRANCH_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_BRANCH_LK;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_BRANCH_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_BRANCH_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_BRANCH_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_BRANCH_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_BRANCH_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_BRANCH_UI;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -130058,7 +130058,7 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_BRANCH_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -130076,7 +130076,7 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_BRANCH_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -130094,7 +130094,7 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_BRANCH_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -130173,23 +130173,23 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_BRANCH_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_BRANCH_is_32b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_BRANCH_lk;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_BRANCH_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_BRANCH_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -130215,7 +130215,7 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -130231,7 +130231,7 @@ module dec_BRANCH(raw_opcode_in, bigendian, BRANCH__cia, BRANCH__insn_type, BRAN
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -130509,13 +130509,13 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output [6:0] CR__insn_type;
   reg [6:0] CR__insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_CR_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_CR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_CR_SPR;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -130524,7 +130524,7 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_CR_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -130542,7 +130542,7 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_CR_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -130621,13 +130621,13 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_CR_internal_op;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_CR_rc_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -130635,7 +130635,7 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -130651,7 +130651,7 @@ module dec_CR(bigendian, CR__insn_type, CR__fn_unit, CR__insn, raw_opcode_in);
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -130927,27 +130927,27 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   reg DIV__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output DIV__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_DIV_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_DIV_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_DIV_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_DIV_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_DIV_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_DIV_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_DIV_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_DIV_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_DIV_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_DIV_UI;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -130956,15 +130956,15 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_DIV_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_DIV_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_DIV_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -130982,7 +130982,7 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_DIV_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -130992,7 +130992,7 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_DIV_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -131010,7 +131010,7 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_DIV_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -131089,13 +131089,13 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_DIV_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_DIV_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_DIV_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_DIV_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -131103,17 +131103,17 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_DIV_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_DIV_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_DIV_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_DIV_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   wire dec_ai_immz_out;
@@ -131129,9 +131129,9 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   wire [2:0] dec_ai_sel_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
   wire dec_ai_sv_nz;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -131151,9 +131151,9 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -131161,11 +131161,11 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -131181,7 +131181,7 @@ module dec_DIV(bigendian, sv_a_nz, DIV__insn_type, DIV__fn_unit, DIV__imm_data__
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -131525,31 +131525,31 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   output LDST__sign_extend;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output LDST__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:17" *)
   input [63:0] core_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_LDST_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_LDST_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_LDST_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_LDST_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_LDST_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_LDST_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_LDST_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_LDST_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_LDST_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_LDST_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LDST_br;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -131558,7 +131558,7 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_LDST_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -131576,7 +131576,7 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_LDST_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -131586,7 +131586,7 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_LDST_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -131604,7 +131604,7 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_LDST_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -131683,9 +131683,9 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_LDST_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LDST_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -131693,26 +131693,26 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_LDST_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_LDST_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LDST_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LDST_sgn_ext;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_LDST_sh;
   (* enum_base_type = "LDSTMode" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_LDST_upd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   wire dec_ai_immz_out;
@@ -131728,9 +131728,9 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   wire [2:0] dec_ai_sel_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
   wire dec_ai_sv_nz;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -131750,9 +131750,9 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -131760,11 +131760,11 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -131780,7 +131780,7 @@ module dec_LDST(raw_opcode_in, bigendian, sv_a_nz, LDST__insn_type, LDST__fn_uni
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -132110,27 +132110,27 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   reg LOGICAL__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output LOGICAL__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_LOGICAL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_LOGICAL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_LOGICAL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_LOGICAL_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_LOGICAL_RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_LOGICAL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_LOGICAL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_LOGICAL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_LOGICAL_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_LOGICAL_UI;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -132139,15 +132139,15 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_LOGICAL_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_LOGICAL_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LOGICAL_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -132165,7 +132165,7 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_LOGICAL_function_unit;
   (* enum_base_type = "In1Sel" *)
   (* enum_value_000 = "NONE" *)
@@ -132175,7 +132175,7 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_100 = "RS" *)
   (* enum_value_101 = "FRA" *)
   (* enum_value_110 = "FRS" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_LOGICAL_in1_sel;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -132193,7 +132193,7 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_LOGICAL_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -132272,13 +132272,13 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_LOGICAL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LOGICAL_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LOGICAL_inv_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LOGICAL_is_32b;
   (* enum_base_type = "LdstLen" *)
   (* enum_value_0000 = "NONE" *)
@@ -132286,17 +132286,17 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_0010 = "is2B" *)
   (* enum_value_0100 = "is4B" *)
   (* enum_value_1000 = "is8B" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_LOGICAL_ldst_len;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_LOGICAL_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_LOGICAL_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_LOGICAL_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   wire dec_ai_immz_out;
@@ -132312,9 +132312,9 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   wire [2:0] dec_ai_sel_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:194" *)
   wire dec_ai_sv_nz;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -132334,9 +132334,9 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -132344,11 +132344,11 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -132364,7 +132364,7 @@ module dec_LOGICAL(bigendian, sv_a_nz, LOGICAL__insn_type, LOGICAL__fn_unit, LOG
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -132694,25 +132694,25 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output MUL__write_cr0;
   reg MUL__write_cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_MUL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_MUL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_MUL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_MUL_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_MUL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_MUL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_MUL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_MUL_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_MUL_UI;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -132721,7 +132721,7 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_MUL_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -132739,7 +132739,7 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_MUL_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -132757,7 +132757,7 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_MUL_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -132836,23 +132836,23 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_MUL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_MUL_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_MUL_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_MUL_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_MUL_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -132872,9 +132872,9 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -132882,11 +132882,11 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -132902,7 +132902,7 @@ module dec_MUL(bigendian, MUL__insn_type, MUL__fn_unit, MUL__imm_data__data, MUL
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -133223,25 +133223,25 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output SHIFT_ROT__write_cr0;
   reg SHIFT_ROT__write_cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_SHIFT_ROT_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [13:0] dec_SHIFT_ROT_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [23:0] dec_SHIFT_ROT_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_SHIFT_ROT_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_SHIFT_ROT_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [4:0] dec_SHIFT_ROT_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_SHIFT_ROT_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_SHIFT_ROT_SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [15:0] dec_SHIFT_ROT_UI;
   (* enum_base_type = "CRInSel" *)
   (* enum_value_000 = "NONE" *)
@@ -133252,7 +133252,7 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_101 = "BC" *)
   (* enum_value_110 = "WHOLE_REG" *)
   (* enum_value_111 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_SHIFT_ROT_cr_in;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -133261,15 +133261,15 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_SHIFT_ROT_cr_out;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_SHIFT_ROT_cry_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_SHIFT_ROT_cry_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -133287,7 +133287,7 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_SHIFT_ROT_function_unit;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -133305,7 +133305,7 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_1100 = "SPR" *)
   (* enum_value_1101 = "RS" *)
   (* enum_value_1110 = "FRB" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [3:0] dec_SHIFT_ROT_in2_sel;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -133384,25 +133384,25 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_SHIFT_ROT_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_SHIFT_ROT_inv_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_SHIFT_ROT_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_SHIFT_ROT_rc_sel;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_SHIFT_ROT_sgn;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [5:0] dec_SHIFT_ROT_sh;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] dec_bi_imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_bi_imm_b_ok;
   (* enum_base_type = "In2Sel" *)
   (* enum_value_0000 = "NONE" *)
@@ -133422,9 +133422,9 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_1110 = "FRB" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:268" *)
   wire [3:0] dec_bi_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_oe_oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -133432,11 +133432,11 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec_rc_rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -133452,7 +133452,7 @@ module dec_SHIFT_ROT(bigendian, SHIFT_ROT__insn_type, SHIFT_ROT__fn_unit, SHIFT_
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -133751,13 +133751,13 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   reg [6:0] SPR__insn_type;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output SPR__is_32bit;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   input bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_SPR_OE;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire dec_SPR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [9:0] dec_SPR_SPR;
   (* enum_base_type = "CROutSel" *)
   (* enum_value_000 = "NONE" *)
@@ -133766,7 +133766,7 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   (* enum_value_011 = "BT" *)
   (* enum_value_100 = "WHOLE_REG" *)
   (* enum_value_101 = "CR1" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [2:0] dec_SPR_cr_out;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -133784,7 +133784,7 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [14:0] dec_SPR_function_unit;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -133863,15 +133863,15 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [6:0] dec_SPR_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:198" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:200" *)
   wire dec_SPR_is_32b;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "RC" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   wire [1:0] dec_SPR_rc_sel;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -133879,7 +133879,7 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   (* enum_value_10 = "RC" *)
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:527" *)
   wire [1:0] dec_oe_sel_in;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:311" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:313" *)
   wire [31:0] dec_opcode_in;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -133895,7 +133895,7 @@ module dec_SPR(bigendian, SPR__insn_type, SPR__fn_unit, SPR__insn, SPR__is_32bit
   wire is_mmu_spr;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:883" *)
   wire is_spr_mv;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   input [31:0] raw_opcode_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:878" *)
   wire [9:0] spr;
@@ -134035,24 +134035,24 @@ module dec_a(SPR, sv_nz, sel_in, reg_a, reg_a_ok, spr_a, spr_a_ok, fast_a, fast_
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:129" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [9:0] SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   input [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_a;
   reg [2:0] fast_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_a_ok;
   reg fast_a_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:142" *)
@@ -134136,14 +134136,14 @@ module dec_a(SPR, sv_nz, sel_in, reg_a, reg_a_ok, spr_a, spr_a_ok, fast_a, fast_
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:125" *)
   wire [4:0] ra;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [4:0] reg_a;
   reg [4:0] reg_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg_a_ok;
   reg reg_a_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:135" *)
@@ -134274,15 +134274,15 @@ module dec_a(SPR, sv_nz, sel_in, reg_a, reg_a_ok, spr_a, spr_a_ok, fast_a, fast_
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spr_a;
   reg [9:0] spr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr_a_ok;
   reg spr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] sprmap_fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire sprmap_fast_o_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
   reg [9:0] sprmap_spr_i;
@@ -134400,9 +134400,9 @@ module dec_a(SPR, sv_nz, sel_in, reg_a, reg_a_ok, spr_a, spr_a_ok, fast_a, fast_
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] sprmap_spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire sprmap_spr_o_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:115" *)
   input sv_nz;
@@ -134606,7 +134606,7 @@ module dec_ai(sel_in, immz_out, ALU_RA, sv_nz);
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] ALU_RA;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   output immz_out;
@@ -134657,7 +134657,7 @@ module \dec_ai$148 (sel_in, immz_out, LOGICAL_RA, sv_nz);
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] LOGICAL_RA;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   output immz_out;
@@ -134708,7 +134708,7 @@ module \dec_ai$156 (sel_in, immz_out, DIV_RA, sv_nz);
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] DIV_RA;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   output immz_out;
@@ -134759,7 +134759,7 @@ module \dec_ai$169 (sel_in, immz_out, LDST_RA, sv_nz);
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:205" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] LDST_RA;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:193" *)
   output immz_out;
@@ -134800,32 +134800,32 @@ endmodule
 (* generator = "nMigen" *)
 module dec_b(sel_in, reg_b, reg_b_ok, fast_b, fast_b_ok, FRB, RS, RB, XL_XO, internal_op);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [6:0] \$1 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *)
   wire \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *)
   wire \$13 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [6:0] \$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [6:0] \$5 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *)
   wire \$7 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *)
   wire \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   input [9:0] XL_XO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_b;
   reg [2:0] fast_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_b_ok;
   reg fast_b_ok;
   (* enum_base_type = "MicrOp" *)
@@ -134905,12 +134905,12 @@ module dec_b(sel_in, reg_b, reg_b_ok, fast_b, fast_b_ok, FRB, RS, RB, XL_XO, int
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [6:0] reg_b;
   reg [6:0] reg_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg_b_ok;
   reg reg_b_ok;
   (* enum_base_type = "In2Sel" *)
@@ -134934,9 +134934,9 @@ module dec_b(sel_in, reg_b, reg_b_ok, fast_b, fast_b_ok, FRB, RS, RB, XL_XO, int
   assign \$9  = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *) XL_XO[9];
   assign \$11  = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *) 7'h08;
   assign \$13  = ~ (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:253" *) XL_XO[9];
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) FRB;
-  assign \$3  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) RB;
-  assign \$5  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) RS;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) FRB;
+  assign \$3  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) RB;
+  assign \$5  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) RS;
   assign \$7  = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:250" *) 7'h08;
   always @* begin
     if (\initial ) begin end
@@ -135018,9 +135018,9 @@ endmodule
 (* generator = "nMigen" *)
 module dec_bi(imm_b, imm_b_ok, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_BD, ALU_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -135044,30 +135044,30 @@ module dec_bi(imm_b, imm_b_ok, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_BD,
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] ALU_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] ALU_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] ALU_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] ALU_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] ALU_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] ALU_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] ALU_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -135096,11 +135096,11 @@ module dec_bi(imm_b, imm_b_ok, ALU_SI, ALU_UI, ALU_SH32, ALU_sh, ALU_LI, ALU_BD,
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_SH32;
   assign \$14  = ALU_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = ALU_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) ALU_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) ALU_UI;
   assign \$20  = ALU_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = ALU_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -135360,9 +135360,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$144 (imm_b, imm_b_ok, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_sh, BRANCH_LI, BRANCH_BD, BRANCH_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -135386,30 +135386,30 @@ module \dec_bi$144 (imm_b, imm_b_ok, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_s
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] BRANCH_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] BRANCH_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] BRANCH_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BRANCH_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] BRANCH_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] BRANCH_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] BRANCH_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -135438,11 +135438,11 @@ module \dec_bi$144 (imm_b, imm_b_ok, BRANCH_SI, BRANCH_UI, BRANCH_SH32, BRANCH_s
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_SH32;
   assign \$14  = BRANCH_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = BRANCH_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) BRANCH_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) BRANCH_UI;
   assign \$20  = BRANCH_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = BRANCH_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -135702,9 +135702,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$149 (imm_b, imm_b_ok, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGICAL_sh, LOGICAL_LI, LOGICAL_BD, LOGICAL_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -135728,30 +135728,30 @@ module \dec_bi$149 (imm_b, imm_b_ok, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGIC
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] LOGICAL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] LOGICAL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] LOGICAL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] LOGICAL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] LOGICAL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] LOGICAL_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] LOGICAL_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -135780,11 +135780,11 @@ module \dec_bi$149 (imm_b, imm_b_ok, LOGICAL_SI, LOGICAL_UI, LOGICAL_SH32, LOGIC
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_SH32;
   assign \$14  = LOGICAL_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = LOGICAL_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LOGICAL_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LOGICAL_UI;
   assign \$20  = LOGICAL_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = LOGICAL_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -136044,9 +136044,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$157 (imm_b, imm_b_ok, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, DIV_BD, DIV_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -136070,30 +136070,30 @@ module \dec_bi$157 (imm_b, imm_b_ok, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, D
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] DIV_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] DIV_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] DIV_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] DIV_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] DIV_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] DIV_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] DIV_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -136122,11 +136122,11 @@ module \dec_bi$157 (imm_b, imm_b_ok, DIV_SI, DIV_UI, DIV_SH32, DIV_sh, DIV_LI, D
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_SH32;
   assign \$14  = DIV_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = DIV_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) DIV_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) DIV_UI;
   assign \$20  = DIV_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = DIV_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -136386,9 +136386,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$161 (imm_b, imm_b_ok, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, MUL_BD, MUL_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -136412,30 +136412,30 @@ module \dec_bi$161 (imm_b, imm_b_ok, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, M
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] MUL_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] MUL_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] MUL_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] MUL_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] MUL_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] MUL_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] MUL_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -136464,11 +136464,11 @@ module \dec_bi$161 (imm_b, imm_b_ok, MUL_SI, MUL_UI, MUL_SH32, MUL_sh, MUL_LI, M
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_SH32;
   assign \$14  = MUL_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = MUL_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) MUL_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) MUL_UI;
   assign \$20  = MUL_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = MUL_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -136728,9 +136728,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$165 (imm_b, imm_b_ok, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32, SHIFT_ROT_sh, SHIFT_ROT_LI, SHIFT_ROT_BD, SHIFT_ROT_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -136754,30 +136754,30 @@ module \dec_bi$165 (imm_b, imm_b_ok, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32,
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] SHIFT_ROT_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] SHIFT_ROT_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] SHIFT_ROT_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] SHIFT_ROT_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] SHIFT_ROT_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] SHIFT_ROT_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] SHIFT_ROT_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -136806,11 +136806,11 @@ module \dec_bi$165 (imm_b, imm_b_ok, SHIFT_ROT_SI, SHIFT_ROT_UI, SHIFT_ROT_SH32,
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_SH32;
   assign \$14  = SHIFT_ROT_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = SHIFT_ROT_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) SHIFT_ROT_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) SHIFT_ROT_UI;
   assign \$20  = SHIFT_ROT_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = SHIFT_ROT_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -137070,9 +137070,9 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_bi$170 (imm_b, imm_b_ok, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_LI, LDST_BD, LDST_DS, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$11 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *)
   wire [46:0] \$13 ;
@@ -137096,30 +137096,30 @@ module \dec_bi$170 (imm_b, imm_b_ok, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_
   wire [46:0] \$4 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:311" *)
   wire [63:0] \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   wire [63:0] \$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] LDST_BD;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [13:0] LDST_DS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [23:0] LDST_LI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] LDST_SH32;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] LDST_SI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [15:0] LDST_UI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [5:0] LDST_sh;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:301" *)
   reg [15:0] bd;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:306" *)
   reg [15:0] ds;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] imm_b;
   reg [63:0] imm_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output imm_b_ok;
   reg imm_b_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:296" *)
@@ -137148,11 +137148,11 @@ module \dec_bi$170 (imm_b, imm_b_ok, LDST_SI, LDST_UI, LDST_SH32, LDST_sh, LDST_
   reg [31:0] si_hi;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:291" *)
   reg [15:0] ui;
-  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_sh;
-  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_SH32;
+  assign \$9  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_sh;
+  assign \$11  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_SH32;
   assign \$14  = LDST_SI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:287" *) 5'h10;
   assign \$17  = LDST_LI <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:297" *) 2'h2;
-  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *) LDST_UI;
+  assign \$1  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *) LDST_UI;
   assign \$20  = LDST_BD <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:302" *) 2'h2;
   assign \$23  = LDST_DS <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:307" *) 2'h2;
   assign \$4  = ui <<< (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:293" *) 5'h10;
@@ -137412,18 +137412,18 @@ endmodule
 (* generator = "nMigen" *)
 module dec_c(reg_c, reg_c_ok, FRS, FRC, RS, RB, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RS;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [4:0] reg_c;
   reg [4:0] reg_c;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg_c_ok;
   reg reg_c_ok;
   (* enum_base_type = "In3Sel" *)
@@ -137494,42 +137494,42 @@ module dec_cr_in(insn_in, sel_in, cr_fxm, cr_fxm_ok, cr_bitfield, cr_bitfield_ok
   wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:624" *)
   wire \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BB;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BC;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BI;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [7:0] FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   input [2:0] X_BFA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] cr_bitfield;
   reg [2:0] cr_bitfield;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] cr_bitfield_b;
   reg [2:0] cr_bitfield_b;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_bitfield_b_ok;
   reg cr_bitfield_b_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] cr_bitfield_o;
   reg [2:0] cr_bitfield_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_bitfield_o_ok;
   reg cr_bitfield_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_bitfield_ok;
   reg cr_bitfield_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [7:0] cr_fxm;
   reg [7:0] cr_fxm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_fxm_ok;
   reg cr_fxm_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:571" *)
@@ -137611,7 +137611,7 @@ module dec_cr_in(insn_in, sel_in, cr_fxm, cr_fxm_ok, cr_bitfield, cr_bitfield_ok
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:622" *)
   reg move_one;
@@ -138037,22 +138037,22 @@ module dec_cr_out(insn_in, sel_in, rc_in, cr_fxm, cr_fxm_ok, cr_bitfield, cr_bit
   wire \$1 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:689" *)
   wire \$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [7:0] FXM;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   input [4:0] XL_BT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:496" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:498" *)
   input [2:0] X_BF;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] cr_bitfield;
   reg [2:0] cr_bitfield;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_bitfield_ok;
   reg cr_bitfield_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [7:0] cr_fxm;
   reg [7:0] cr_fxm;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_fxm_ok;
   reg cr_fxm_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:646" *)
@@ -138134,7 +138134,7 @@ module dec_cr_out(insn_in, sel_in, rc_in, cr_fxm, cr_fxm_ok, cr_bitfield, cr_bit
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:687" *)
   reg move_one;
@@ -138412,20 +138412,20 @@ module dec_o(SPR, sel_in, reg_o, reg_o_ok, spr_o, spr_o_ok, fast_o, fast_o_ok, F
   wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:411" *)
   wire \$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] BO;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] FRT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RT;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [9:0] SPR;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_o;
   reg [2:0] fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_o_ok;
   reg fast_o_ok;
   (* enum_base_type = "MicrOp" *)
@@ -138505,12 +138505,12 @@ module dec_o(SPR, sel_in, reg_o, reg_o_ok, spr_o, spr_o_ok, fast_o, fast_o_ok, F
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [4:0] reg_o;
   reg [4:0] reg_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg_o_ok;
   reg reg_o_ok;
   (* enum_base_type = "OutSel" *)
@@ -138638,15 +138638,15 @@ module dec_o(SPR, sel_in, reg_o, reg_o_ok, spr_o, spr_o_ok, fast_o, fast_o_ok, F
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spr_o;
   reg [9:0] spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr_o_ok;
   reg spr_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] sprmap_fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire sprmap_fast_o_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
   reg [9:0] sprmap_spr_i;
@@ -138764,9 +138764,9 @@ module dec_o(SPR, sel_in, reg_o, reg_o_ok, spr_o, spr_o_ok, fast_o, fast_o_ok, F
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] sprmap_spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire sprmap_spr_o_ok;
   assign \$1  = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:401" *) 7'h31;
   assign \$3  = internal_op == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:401" *) 7'h31;
@@ -138958,18 +138958,18 @@ module dec_o2(lk, reg_o2, reg_o2_ok, fast_o2, fast_o2_ok, fast_o3, fast_o3_ok, u
   wire \$1 ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *)
   wire \$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input [4:0] RA;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_o2;
   reg [2:0] fast_o2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_o2_ok;
   reg fast_o2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_o3;
   reg [2:0] fast_o3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_o3_ok;
   reg fast_o3_ok;
   (* enum_base_type = "MicrOp" *)
@@ -139049,14 +139049,14 @@ module dec_o2(lk, reg_o2, reg_o2_ok, fast_o2, fast_o2_ok, fast_o3, fast_o3_ok, u
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:441" *)
   input lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [4:0] reg_o2;
   reg [4:0] reg_o2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output reg_o2_ok;
   reg reg_o2_ok;
   (* enum_base_type = "LDSTMode" *)
@@ -139064,7 +139064,7 @@ module dec_o2(lk, reg_o2, reg_o2_ok, fast_o2, fast_o2_ok, fast_o3, fast_o3_ok, u
   (* enum_value_01 = "update" *)
   (* enum_value_10 = "cix" *)
   (* enum_value_11 = "cx" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [1:0] upd;
   assign \$1  = upd == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *) 2'h1;
   assign \$3  = upd == (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:458" *) 2'h1;
@@ -139164,7 +139164,7 @@ endmodule
 (* generator = "nMigen" *)
 module dec_oe(ALU_internal_op, oe, oe_ok, ALU_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input ALU_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139243,12 +139243,12 @@ module dec_oe(ALU_internal_op, oe, oe_ok, ALU_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] ALU_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -139307,7 +139307,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$140 (CR_internal_op, CR_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input CR_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139386,11 +139386,11 @@ module \dec_oe$140 (CR_internal_op, CR_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] CR_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -139448,7 +139448,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$143 (BRANCH_internal_op, BRANCH_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input BRANCH_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139527,11 +139527,11 @@ module \dec_oe$143 (BRANCH_internal_op, BRANCH_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] BRANCH_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -139589,7 +139589,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$147 (LOGICAL_internal_op, oe, oe_ok, LOGICAL_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input LOGICAL_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139668,12 +139668,12 @@ module \dec_oe$147 (LOGICAL_internal_op, oe, oe_ok, LOGICAL_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] LOGICAL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -139732,7 +139732,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$152 (SPR_internal_op, SPR_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input SPR_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139811,11 +139811,11 @@ module \dec_oe$152 (SPR_internal_op, SPR_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] SPR_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg oe_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -139873,7 +139873,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$155 (DIV_internal_op, oe, oe_ok, DIV_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input DIV_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -139952,12 +139952,12 @@ module \dec_oe$155 (DIV_internal_op, oe, oe_ok, DIV_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] DIV_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -140016,7 +140016,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$160 (MUL_internal_op, oe, oe_ok, MUL_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input MUL_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -140095,12 +140095,12 @@ module \dec_oe$160 (MUL_internal_op, oe, oe_ok, MUL_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] MUL_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -140159,7 +140159,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$164 (SHIFT_ROT_internal_op, oe, oe_ok, SHIFT_ROT_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input SHIFT_ROT_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -140238,12 +140238,12 @@ module \dec_oe$164 (SHIFT_ROT_internal_op, oe, oe_ok, SHIFT_ROT_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] SHIFT_ROT_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -140302,7 +140302,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$168 (LDST_internal_op, oe, oe_ok, LDST_OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input LDST_OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -140381,12 +140381,12 @@ module \dec_oe$168 (LDST_internal_op, oe, oe_ok, LDST_OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] LDST_internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -140445,7 +140445,7 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_oe$173 (internal_op, oe, oe_ok, OE, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input OE;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -140524,12 +140524,12 @@ module \dec_oe$173 (internal_op, oe, oe_ok, OE, sel_in);
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:189" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:191" *)
   input [6:0] internal_op;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe;
   reg oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output oe_ok;
   reg oe_ok;
   (* enum_base_type = "RC" *)
@@ -140588,12 +140588,12 @@ endmodule
 (* generator = "nMigen" *)
 module dec_rc(rc, rc_ok, ALU_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input ALU_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -140646,11 +140646,11 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$139 (CR_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input CR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -140702,11 +140702,11 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$142 (BRANCH_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input BRANCH_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -140758,12 +140758,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$146 (rc, rc_ok, LOGICAL_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input LOGICAL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -140816,11 +140816,11 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$151 (SPR_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input SPR_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg rc_ok;
   (* enum_base_type = "RC" *)
   (* enum_value_00 = "NONE" *)
@@ -140872,12 +140872,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$154 (rc, rc_ok, DIV_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input DIV_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -140930,12 +140930,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$159 (rc, rc_ok, MUL_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input MUL_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -140988,12 +140988,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$163 (rc, rc_ok, SHIFT_ROT_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input SHIFT_ROT_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -141046,12 +141046,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$167 (rc, rc_ok, LDST_Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input LDST_Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -141104,12 +141104,12 @@ endmodule
 (* generator = "nMigen" *)
 module \dec_rc$172 (rc, rc_ok, Rc, sel_in);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:483" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:485" *)
   input Rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc;
   reg rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output rc_ok;
   reg rc_ok;
   (* enum_base_type = "RC" *)
@@ -141298,7 +141298,7 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   wire all_rd_pulse;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
   wire all_rd_rise;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_div0_cr_a;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -141497,7 +141497,7 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   wire alu_div0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_div0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_div0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_div0_p_ready_o;
@@ -141507,9 +141507,9 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   wire [63:0] alu_div0_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] alu_div0_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_div0_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire alu_div0_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \alu_div0_xer_so$1 ;
@@ -141545,7 +141545,7 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -141613,7 +141613,7 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output dest4_o;
   reg dest4_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -141854,9 +141854,9 @@ module div0(coresync_rst, oper_i_alu_div0__insn_type, oper_i_alu_div0__fn_unit,
   wire \src_sel$82 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   assign \$100  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:173" *) alu_div0_logical_op__zero_a;
   assign \$102  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:173" *) alu_div0_logical_op__imm_data__ok;
@@ -143337,17 +143337,17 @@ module fus(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i, e
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$111 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$112 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$113 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$114 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$115 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:34" *)
   input cu_ad__go_i;
@@ -143571,27 +143571,27 @@ module fus(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i, e
   output [63:0] \dest6_o$151 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [31:0] dest7_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ea;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   output exc_o_happened;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \fast1_ok$139 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \fast1_ok$140 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \fast2_ok$141 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output full_cr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [95:0] ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_addr_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   input ldst_port0_addr_ok_o;
@@ -143619,39 +143619,39 @@ module fus(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i, e
   output ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   output ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_ld_data_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
   output ldst_port0_msr_pr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_st_data_i_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output msr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \nia_ok$148 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$81 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$84 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$87 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$90 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$93 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$96 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$99 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -145014,7 +145014,7 @@ module fus(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i, e
   input [1:0] oper_i_ldst_ldst0__sv_saturate;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   input oper_i_ldst_ldst0__zero_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
   input [63:0] src1_i;
@@ -145098,29 +145098,29 @@ module fus(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i, e
   input [1:0] src6_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
   input [3:0] \src6_i$73 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output svstate_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ca_ok$121 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ca_ok$122 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ov_ok$125 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ov_ok$126 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ov_ok$127 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so_ok$130 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so_ok$131 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so_ok$132 ;
   alu0 alu0 (
     .coresync_clk(coresync_clk),
@@ -150768,9 +150768,9 @@ module l0(coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_is_st_
   output dbus__stb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/minerva/units/loadstore.py:32" *)
   output dbus__we;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [95:0] ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_addr_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   output ldst_port0_addr_ok_o;
@@ -150798,19 +150798,19 @@ module l0(coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_is_st_
   input ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   input ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_ld_data_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
   input ldst_port0_msr_pr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_st_data_i_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [47:0] pimem_ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pimem_ldst_port0_addr_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   wire pimem_ldst_port0_addr_ok_o;
@@ -150824,13 +150824,13 @@ module l0(coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_is_st_
   wire pimem_ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   wire pimem_ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pimem_ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pimem_ldst_port0_ld_data_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] pimem_ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire pimem_ldst_port0_st_data_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/minerva/units/loadstore.py:69" *)
   wire [63:0] pimem_m_ld_data_o;
@@ -150971,14 +150971,14 @@ module \l0$130 (coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_
   reg idx_l_r_idx_l;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
   reg idx_l_s_idx_l;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [95:0] ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [47:0] \ldst_port0_addr_i$5 ;
   reg [47:0] \ldst_port0_addr_i$5 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_addr_i_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \ldst_port0_addr_i_ok$6 ;
   reg \ldst_port0_addr_i_ok$6 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
@@ -151062,15 +151062,15 @@ module \l0$130 (coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   output \ldst_port0_is_st_i$2 ;
   reg \ldst_port0_is_st_i$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ldst_port0_ld_data_o;
   reg [63:0] ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] \ldst_port0_ld_data_o$8 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_ld_data_o_ok;
   reg ldst_port0_ld_data_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input \ldst_port0_ld_data_o_ok$9 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:126" *)
   reg ldst_port0_ldst_error;
@@ -151084,14 +151084,14 @@ module \l0$130 (coresync_rst, ldst_port0_busy_o, ldst_port0_is_ld_i, ldst_port0_
   input ldst_port0_msr_pr;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
   reg \ldst_port0_msr_pr$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \ldst_port0_st_data_i$11 ;
   reg [63:0] \ldst_port0_st_data_i$11 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_st_data_i_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \ldst_port0_st_data_i_ok$10 ;
   reg \ldst_port0_st_data_i_ok$10 ;
   (* src = "/home/lkcl/src/libresoc/nmigen/nmigen/lib/coding.py:75" *)
@@ -151717,7 +151717,7 @@ module ldst0(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i,
   reg [63:0] dest1_o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   reg [63:0] dest2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ea;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
   reg [63:0] ea_r = 64'h0000000000000000;
@@ -151753,15 +151753,15 @@ module ldst0(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i,
   reg [63:0] ldo_r = 64'h0000000000000000;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
   reg [63:0] \ldo_r$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [95:0] ldst_port0_addr_i;
   reg [95:0] ldst_port0_addr_i = 96'h000000000000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [95:0] \ldst_port0_addr_i$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_addr_i_ok;
   reg ldst_port0_addr_i_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \ldst_port0_addr_i_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   input ldst_port0_addr_ok_o;
@@ -151789,16 +151789,16 @@ module ldst0(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i,
   output ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   output ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_ld_data_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:120" *)
   output ldst_port0_msr_pr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ldst_port0_st_data_i;
   reg [63:0] ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_st_data_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compldst_multi.py:120" *)
   wire load_mem_o;
@@ -151816,7 +151816,7 @@ module ldst0(coresync_rst, cu_st__rel_o, cu_ad__go_i, cu_ad__rel_o, cu_st__go_i,
   reg \lsd_l_r_lsd$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
   wire lsd_l_s_lsd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compldst_multi.py:272" *)
   wire op_is_ld;
@@ -153734,7 +153734,7 @@ module logical0(coresync_rst, oper_i_alu_logical0__insn_type, oper_i_alu_logical
   reg \alu_l_r_alu$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
   wire alu_l_s_alu;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_logical0_cr_a;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -153933,7 +153933,7 @@ module logical0(coresync_rst, oper_i_alu_logical0__insn_type, oper_i_alu_logical
   wire alu_logical0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_logical0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_logical0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_logical0_p_ready_o;
@@ -153961,7 +153961,7 @@ module logical0(coresync_rst, oper_i_alu_logical0__insn_type, oper_i_alu_logical
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -154007,7 +154007,7 @@ module logical0(coresync_rst, oper_i_alu_logical0__insn_type, oper_i_alu_logical
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [3:0] dest2_o;
   reg [3:0] dest2_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -154786,23 +154786,23 @@ module logical_pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, logical_op__insn
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$103 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$105 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$104 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$106 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -155933,9 +155933,9 @@ module logical_pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, logical_op__insn
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_ra;
@@ -155943,7 +155943,7 @@ module logical_pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, logical_op__insn
   wire [63:0] main_rb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire main_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \main_xer_so$74 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] muxid;
@@ -155960,19 +155960,19 @@ module logical_pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, logical_op__insn
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$101 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$102 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -155990,23 +155990,23 @@ module logical_pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, logical_op__insn
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   reg xer_so = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$107 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$108 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$109 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$76  = \p_valid_i$75  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -156354,25 +156354,25 @@ module logical_pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$26 ;
   reg [3:0] \cr_a$26  = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$26$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$84 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$27 ;
   reg \cr_a_ok$27  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$27$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$54 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$85 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -156902,29 +156902,29 @@ module logical_pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$24 ;
   reg [63:0] \o$24  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$24$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$82 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$25 ;
   reg \o_ok$25  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$25$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$83 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] output_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \output_cr_a$53 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -157228,15 +157228,15 @@ module logical_pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn
   wire [1:0] output_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_muxid$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \output_o$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_o_ok$52 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -157250,11 +157250,11 @@ module logical_pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn
   reg r_busy = 1'h0;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
   reg \r_busy$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$55 ;
   assign \$57  = \p_valid_i$56  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -158559,10 +158559,10 @@ module main(alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_op__
   reg carry_32;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:101" *)
   reg carry_64;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:178" *)
@@ -158577,10 +158577,10 @@ module main(alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_op__
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:156" *)
@@ -158595,21 +158595,21 @@ module main(alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_op__
   reg [4:0] tval;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$24 ;
   reg [1:0] \xer_ca$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   reg xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   reg [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$25 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/alu/main_stage.py:103" *)
   reg zerohi;
@@ -159215,9 +159215,9 @@ module \main$114 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_op
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
@@ -159548,11 +159548,11 @@ module \main$114 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_op
   input sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   output \sr_op__write_cr0$10 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$23 ;
   rotator rotator (
     .arith(rotator_arith),
@@ -159978,27 +159978,27 @@ module \main$22 (br_op__cia, br_op__insn_type, br_op__fn_unit, br_op__insn, br_o
   reg ctr_zero_bo1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$14 ;
   reg [63:0] \fast1$14 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast2$15 ;
   reg [63:0] \fast2$15 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   reg fast2_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   assign \$16  = br_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/branch/main_stage.py:92" *) 7'h08;
   assign \$18  = br_op__insn[1] | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/branch/main_stage.py:92" *) \$16 ;
@@ -160345,24 +160345,24 @@ module \main$38 (trap_op__insn_type, trap_op__fn_unit, trap_op__insn, trap_op__m
   wire equal;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$16 ;
   reg [63:0] \fast1$16 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast2$17 ;
   reg [63:0] \fast2$17 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   reg fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] fast3;
   reg [63:0] fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
   reg fast3_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:166" *)
@@ -160373,26 +160373,26 @@ module \main$38 (trap_op__insn_type, trap_op__fn_unit, trap_op__insn, trap_op__m
   wire lt_s;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:167" *)
   wire lt_u;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] msr;
   reg [63:0] msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output msr_ok;
   reg msr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
   reg [63:0] nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   reg nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
@@ -160401,10 +160401,10 @@ module \main$38 (trap_op__insn_type, trap_op__fn_unit, trap_op__insn, trap_op__m
   input [63:0] rb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:184" *)
   wire should_trap;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] svstate;
   reg [31:0] svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output svstate_ok;
   reg svstate_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/trap/main_stage.py:142" *)
@@ -161865,10 +161865,10 @@ module \main$51 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_dat
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:84" *)
@@ -161887,7 +161887,7 @@ module \main$51 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_dat
   input [63:0] rb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$24 ;
   assign \$99  = ra[39:32] == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:67" *) rb[39:32];
   assign \$101  = ra[39:32] == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/logical/main_stage.py:67" *) rb[39:32];
@@ -162461,10 +162461,10 @@ module \main$9 (cr_op__insn_type, cr_op__fn_unit, cr_op__insn, cr_op__sv_pred_sz
   reg [1:0] bt;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$10 ;
   reg [3:0] \cr_a$10 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
@@ -162705,10 +162705,10 @@ module \main$9 (cr_op__insn_type, cr_op__fn_unit, cr_op__insn, cr_op__sv_pred_sz
   output [1:0] \cr_op__sv_saturate$7 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [31:0] full_cr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] \full_cr$9 ;
   reg [31:0] \full_cr$9 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output full_cr_ok;
   reg full_cr_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/cr/main_stage.py:70" *)
@@ -162717,10 +162717,10 @@ module \main$9 (cr_op__insn_type, cr_op__fn_unit, cr_op__insn, cr_op__sv_pred_sz
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
@@ -163215,7 +163215,7 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   reg \alu_l_r_alu$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:65" *)
   wire alu_l_s_alu;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_mul0_cr_a;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -163386,7 +163386,7 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   wire alu_mul0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_mul0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_mul0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_mul0_p_ready_o;
@@ -163396,9 +163396,9 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   wire [63:0] alu_mul0_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] alu_mul0_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_mul0_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire alu_mul0_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \alu_mul0_xer_so$1 ;
@@ -163418,7 +163418,7 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -163486,7 +163486,7 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output dest4_o;
   reg dest4_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -163707,9 +163707,9 @@ module mul0(coresync_rst, oper_i_alu_mul0__insn_type, oper_i_alu_mul0__fn_unit,
   wire src_sel;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   assign \$100  = \$96  & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) \$98 ;
   assign \$102  = cu_busy_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:350" *) cu_shadown_i;
@@ -165282,23 +165282,23 @@ module mul3(mul_op__insn_type, mul_op__fn_unit, mul_op__imm_data__data, mul_op__
   input neg_res;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [128:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$18 ;
   reg [63:0] \o$18 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   reg [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$19 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   assign \$21  = - (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/mul/post_stage.py:41" *) o;
   assign \$23  = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *) o;
@@ -167879,25 +167879,25 @@ module mul_pipe3(coresync_rst, p_valid_i, p_ready_o, muxid, mul_op__insn_type, m
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$63 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$89 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$62 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$64 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$90 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -168173,19 +168173,19 @@ module mul_pipe3(coresync_rst, p_valid_i, p_ready_o, muxid, mul_op__insn_type, m
   wire mul3_neg_res;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [128:0] mul3_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \mul3_o$37 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul3_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] mul3_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul3_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire mul3_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \mul3_xer_so$38 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire mul3_xer_so_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -168657,25 +168657,25 @@ module mul_pipe3(coresync_rst, p_valid_i, p_ready_o, muxid, mul_op__insn_type, m
   wire \neg_res32$61 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [128:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$18 ;
   reg [63:0] \o$18  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$18$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$87 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$88 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] output_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \output_cr_a$58 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -168947,25 +168947,25 @@ module mul_pipe3(coresync_rst, p_valid_i, p_ready_o, muxid, mul_op__insn_type, m
   wire [1:0] output_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_muxid$39 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \output_o$56 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_o_ok$57 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \output_xer_ov$59 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_xer_so$60 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -168979,39 +168979,39 @@ module mul_pipe3(coresync_rst, p_valid_i, p_ready_o, muxid, mul_op__insn_type, m
   reg r_busy = 1'h0;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
   reg \r_busy$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   reg [1:0] xer_ov = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ov$91 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ov$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$65 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$92 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ov_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$19 ;
   reg \xer_so$19  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$19$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$93 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$66 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$94 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$68  = \p_valid_i$67  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -170364,7 +170364,7 @@ module \output (alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_
   wire [64:0] \$35 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
   wire [63:0] \$36 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [64:0] \$39 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
   wire \$41 ;
@@ -170684,11 +170684,11 @@ module \output (alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_
   output \alu_op__zero_a$11 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
   reg [3:0] cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
   wire is_cmp;
@@ -170706,15 +170706,15 @@ module \output (alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$24 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
   reg [64:0] \o$32 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$25 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
   wire oe;
@@ -170724,33 +170724,33 @@ module \output (alu_op__insn_type, alu_op__fn_unit, alu_op__imm_data__data, alu_
   reg so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
   wire [63:0] target;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$28 ;
   reg [1:0] \xer_ov$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$29 ;
   reg \xer_so$29 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok;
   assign \$30  = alu_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) alu_op__oe__ok;
   assign \$33  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) alu_op__sv_pred_dz;
   assign \$36  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
   assign \$35  = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$36 ;
-  assign \$39  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+  assign \$39  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
   assign \$41  = alu_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
   assign \$43  = alu_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
   assign \$45  = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
@@ -170872,7 +170872,7 @@ module \output$100 (mul_op__insn_type, mul_op__fn_unit, mul_op__imm_data__data,
   wire \$23 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *)
   wire \$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [64:0] \$28 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
   wire \$30 ;
@@ -170894,11 +170894,11 @@ module \output$100 (mul_op__insn_type, mul_op__fn_unit, mul_op__imm_data__data,
   wire \$47 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
   reg [3:0] cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$20 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
   wire is_cmp;
@@ -171182,15 +171182,15 @@ module \output$100 (mul_op__insn_type, mul_op__fn_unit, mul_op__imm_data__data,
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$18 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
   reg [64:0] \o$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$19 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
   wire oe;
@@ -171200,25 +171200,25 @@ module \output$100 (mul_op__insn_type, mul_op__fn_unit, mul_op__imm_data__data,
   reg so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
   wire [63:0] target;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$21 ;
   reg [1:0] \xer_ov$21 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$22 ;
   reg \xer_so$22 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok;
   assign \$23  = mul_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) mul_op__oe__ok;
   assign \$26  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) mul_op__sv_pred_dz;
-  assign \$28  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+  assign \$28  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
   assign \$30  = mul_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
   assign \$32  = mul_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
   assign \$34  = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
@@ -171327,7 +171327,7 @@ module \output$118 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_
   reg \initial  = 0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *)
   wire \$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [64:0] \$30 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
   wire \$32 ;
@@ -171345,11 +171345,11 @@ module \output$118 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_
   wire \$44 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
   reg [3:0] cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
   wire is_cmp;
@@ -171367,15 +171367,15 @@ module \output$118 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$23 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
   reg [64:0] \o$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$24 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -171673,16 +171673,16 @@ module \output$118 (sr_op__insn_type, sr_op__fn_unit, sr_op__imm_data__data, sr_
   output \sr_op__write_cr0$10 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
   wire [63:0] target;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
   assign \$28  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) sr_op__sv_pred_dz;
-  assign \$30  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+  assign \$30  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
   assign \$32  = sr_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
   assign \$34  = sr_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
   assign \$36  = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
@@ -171740,7 +171740,7 @@ module \output$54 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   wire [64:0] \$30 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
   wire [63:0] \$31 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [64:0] \$34 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
   wire \$36 ;
@@ -171758,11 +171758,11 @@ module \output$54 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   wire \$48 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
   reg [3:0] cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
   wire is_cmp;
@@ -172078,24 +172078,24 @@ module \output$54 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$24 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
   reg [64:0] \o$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$25 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
   wire [63:0] target;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
   assign \$28  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) logical_op__sv_pred_dz;
   assign \$31  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
   assign \$30  = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$31 ;
-  assign \$34  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+  assign \$34  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
   assign \$36  = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
   assign \$38  = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
   assign \$40  = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
@@ -172162,7 +172162,7 @@ module \output$83 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   wire [64:0] \$34 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *)
   wire [63:0] \$35 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [64:0] \$38 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *)
   wire \$40 ;
@@ -172184,11 +172184,11 @@ module \output$83 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   wire \$57 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:73" *)
   reg [3:0] cr0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:71" *)
   wire is_cmp;
@@ -172504,15 +172504,15 @@ module \output$83 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$24 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:39" *)
   reg [64:0] \o$31 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$25 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:28" *)
   wire oe;
@@ -172522,27 +172522,27 @@ module \output$83 (logical_op__insn_type, logical_op__fn_unit, logical_op__imm_d
   reg so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:52" *)
   wire [63:0] target;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$27 ;
   reg [1:0] \xer_ov$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$28 ;
   reg \xer_so$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok;
   assign \$29  = logical_op__oe__oe & (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:29" *) logical_op__oe__ok;
   assign \$32  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:37" *) logical_op__sv_pred_dz;
   assign \$35  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) o;
   assign \$34  = + (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:42" *) \$35 ;
-  assign \$38  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) o;
+  assign \$38  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) o;
   assign \$40  = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:79" *) 7'h0a;
   assign \$42  = logical_op__insn_type == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:80" *) 7'h0c;
   assign \$44  = | (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/common_output_stage.py:83" *) target;
@@ -173008,10 +173008,10 @@ module output_stage(logical_op__insn_type, logical_op__fn_unit, logical_op__imm_
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:75" *)
   reg ov;
@@ -173031,13 +173031,13 @@ module output_stage(logical_op__insn_type, logical_op__fn_unit, logical_op__imm_
   wire [31:0] remainder_s32;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:99" *)
   wire [63:0] remainder_s32_as_s64;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$24 ;
   assign \$25  = dividend_neg ^ (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:55" *) divisor_neg;
   assign \$27  = - (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/output_stage.py:65" *) quotient_root;
@@ -173746,9 +173746,9 @@ module pimem(coresync_rst, ldst_port0_is_ld_i, ldst_port0_is_st_i, ldst_port0_bu
   wire \lds_dly$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/util.py:61" *)
   wire lds_rise;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [47:0] ldst_port0_addr_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_addr_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:110" *)
   output ldst_port0_addr_ok_o;
@@ -173763,15 +173763,15 @@ module pimem(coresync_rst, ldst_port0_is_ld_i, ldst_port0_is_st_i, ldst_port0_bu
   input ldst_port0_is_ld_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/pimem.py:100" *)
   input ldst_port0_is_st_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] ldst_port0_ld_data_o;
   reg [63:0] ldst_port0_ld_data_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output ldst_port0_ld_data_o_ok;
   reg ldst_port0_ld_data_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] ldst_port0_st_data_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input ldst_port0_st_data_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/scoreboard/addr_match.py:131" *)
   reg [3:0] lenexp_addr_i;
@@ -174438,19 +174438,19 @@ module pipe(coresync_rst, p_valid_i, p_ready_o, muxid, cr_op__insn_type, cr_op__
   input coresync_rst;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$10 ;
   reg [3:0] \cr_a$10  = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$10$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$36 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$37 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [3:0] cr_b;
@@ -174824,25 +174824,25 @@ module pipe(coresync_rst, p_valid_i, p_ready_o, muxid, cr_op__insn_type, cr_op__
   reg [1:0] \cr_op__sv_saturate$7$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [31:0] full_cr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] \full_cr$34 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] \full_cr$9 ;
   reg [31:0] \full_cr$9  = 32'd0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [31:0] \full_cr$9$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output full_cr_ok;
   reg full_cr_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \full_cr_ok$35 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \full_cr_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] main_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \main_cr_a$20 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [3:0] main_cr_b;
@@ -175080,17 +175080,17 @@ module pipe(coresync_rst, p_valid_i, p_ready_o, muxid, cr_op__insn_type, cr_op__
   wire [1:0] \main_cr_op__sv_saturate$17 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [31:0] main_full_cr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] \main_full_cr$19 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_full_cr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$11 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_ra;
@@ -175111,19 +175111,19 @@ module pipe(coresync_rst, p_valid_i, p_ready_o, muxid, cr_op__insn_type, cr_op__
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$32 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$33 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -175762,35 +175762,35 @@ module \pipe$19 (coresync_rst, p_valid_i, p_ready_o, muxid, br_op__cia, br_op__i
   input [3:0] cr_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$14 ;
   reg [63:0] \fast1$14  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast1$14$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast1$47 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast1_ok$48 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast1_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast2$15 ;
   reg [63:0] \fast2$15  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast2$15$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast2$49 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   reg fast2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast2_ok$50 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast2_ok$next ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -176046,23 +176046,23 @@ module \pipe$19 (coresync_rst, p_valid_i, p_ready_o, muxid, br_op__cia, br_op__i
   wire [3:0] main_cr_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \main_fast1$29 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \main_fast2$30 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_fast2_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$16 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_nia_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
@@ -176079,19 +176079,19 @@ module \pipe$19 (coresync_rst, p_valid_i, p_ready_o, muxid, br_op__cia, br_op__i
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
   reg [63:0] nia = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \nia$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \nia$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   reg nia_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \nia_ok$52 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \nia_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -176340,19 +176340,19 @@ module \pipe$64 (coresync_rst, p_valid_i, p_ready_o, muxid, spr_op__insn_type, s
   input coresync_rst;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$11 ;
   reg [63:0] \fast1$11  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast1$11$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast1$45 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast1_ok$46 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast1_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
@@ -176369,19 +176369,19 @@ module \pipe$64 (coresync_rst, p_valid_i, p_ready_o, muxid, spr_op__insn_type, s
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$41 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$42 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -176399,41 +176399,41 @@ module \pipe$64 (coresync_rst, p_valid_i, p_ready_o, muxid, spr_op__insn_type, s
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \spr1$10 ;
   reg [63:0] \spr1$10  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \spr1$10$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \spr1$43 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   reg spr1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \spr1_ok$44 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \spr1_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] spr_main_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \spr_main_fast1$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] spr_main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \spr_main_muxid$15 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] spr_main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] spr_main_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] spr_main_spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \spr_main_spr1$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_spr1_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -176671,21 +176671,21 @@ module \pipe$64 (coresync_rst, p_valid_i, p_ready_o, muxid, spr_op__insn_type, s
   wire [1:0] \spr_main_spr_op__sv_saturate$22 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] spr_main_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \spr_main_xer_ca$28 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_xer_ca_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] spr_main_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \spr_main_xer_ov$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire spr_main_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \spr_main_xer_so$26 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire spr_main_xer_so_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -177064,51 +177064,51 @@ module \pipe$64 (coresync_rst, p_valid_i, p_ready_o, muxid, spr_op__insn_type, s
   reg [1:0] \spr_op__sv_saturate$8$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$14 ;
   reg [1:0] \xer_ca$14  = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ca$14$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ca$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   reg xer_ca_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$52 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ca_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$13 ;
   reg [1:0] \xer_ov$13  = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ov$13$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ov$49 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$50 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ov_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$12 ;
   reg \xer_so$12  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$12$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$47 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$48 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$30  = \p_valid_i$29  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -177912,19 +177912,19 @@ module pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, alu_op__insn_type, alu_o
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$106 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$107 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -178542,17 +178542,17 @@ module pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, alu_op__insn_type, alu_o
   wire main_alu_op__zero_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \main_alu_op__zero_a$63 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] main_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$53 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_ra;
@@ -178560,17 +178560,17 @@ module pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, alu_op__insn_type, alu_o
   wire [63:0] main_rb;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] main_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \main_xer_ca$76 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] main_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire main_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \main_xer_so$77 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] muxid;
@@ -178587,19 +178587,19 @@ module pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, alu_op__insn_type, alu_o
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$104 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$105 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -178617,53 +178617,53 @@ module pipe1(coresync_rst, n_valid_o, n_ready_i, muxid, alu_op__insn_type, alu_o
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   reg [1:0] xer_ca = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ca$108 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ca$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ca$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   reg xer_ca_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$109 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ca_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   reg [1:0] xer_ov = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ov$110 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ov$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$111 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ov_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   reg xer_so = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$112 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$24 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$113 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$114 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$79  = \p_valid_i$78  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -179068,23 +179068,23 @@ module \pipe1$110 (coresync_rst, n_valid_o, n_ready_i, muxid, sr_op__insn_type,
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$103 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$105 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$104 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$106 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] input_muxid;
@@ -179408,9 +179408,9 @@ module \pipe1$110 (coresync_rst, n_valid_o, n_ready_i, muxid, sr_op__insn_type,
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$52 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_ra;
@@ -179712,11 +179712,11 @@ module \pipe1$110 (coresync_rst, n_valid_o, n_ready_i, muxid, sr_op__insn_type,
   wire main_sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \main_sr_op__write_cr0$61 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] main_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire main_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \main_xer_so$74 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] muxid;
@@ -179733,19 +179733,19 @@ module \pipe1$110 (coresync_rst, n_valid_o, n_ready_i, muxid, sr_op__insn_type,
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$101 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$102 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -180269,43 +180269,43 @@ module \pipe1$110 (coresync_rst, n_valid_o, n_ready_i, muxid, sr_op__insn_type,
   wire \sr_op__write_cr0$88 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg \sr_op__write_cr0$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ca;
   reg [1:0] xer_ca = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ca$110 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] \xer_ca$24 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \xer_ca$75 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ca$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   reg xer_ca_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$111 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$112 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ca_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so;
   reg xer_so = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$107 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input \xer_so$23 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$108 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$109 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$77  = \p_valid_i$76  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -182223,25 +182223,25 @@ module pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, alu_op__insn_type, alu_o
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$26 ;
   reg [3:0] \cr_a$26  = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$26$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$95 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$27 ;
   reg \cr_a_ok$27  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$27$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$63 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$96 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
@@ -182258,23 +182258,23 @@ module pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, alu_op__insn_type, alu_o
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$24 ;
   reg [63:0] \o$24  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$24$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$93 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$25 ;
   reg \o_ok$25  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$25$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$94 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -182574,41 +182574,41 @@ module pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, alu_op__insn_type, alu_o
   wire output_alu_op__zero_a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \output_alu_op__zero_a$44 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] output_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \output_cr_a$59 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] output_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_muxid$34 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \output_o$57 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_o_ok$58 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \output_xer_ca$60 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \output_xer_ov$61 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_xer_so$62 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -182622,65 +182622,65 @@ module pipe2(coresync_rst, p_valid_i, p_ready_o, muxid, alu_op__insn_type, alu_o
   reg r_busy = 1'h0;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:620" *)
   reg \r_busy$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$28 ;
   reg [1:0] \xer_ca$28  = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ca$28$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ca$97 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ca_ok$29 ;
   reg \xer_ca_ok$29  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ca_ok$29$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$64 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$98 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$30 ;
   reg [1:0] \xer_ov$30  = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ov$30$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ov$99 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$100 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ov_ok$31 ;
   reg \xer_ov_ok$31  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ov_ok$31$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$65 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$101 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$32 ;
   reg \xer_so$32  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$32$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$102 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so_ok$33 ;
   reg \xer_so_ok$33  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$33$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$66 ;
   assign \$68  = \p_valid_i$67  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -183026,25 +183026,25 @@ module \pipe2$115 (coresync_rst, p_valid_i, p_ready_o, muxid, sr_op__insn_type,
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [3:0] cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] \cr_a$25 ;
   reg [3:0] \cr_a$25  = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$25$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$85 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input cr_a_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \cr_a_ok$26 ;
   reg \cr_a_ok$26  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$26$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$55 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$86 ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
@@ -183061,41 +183061,41 @@ module \pipe2$115 (coresync_rst, p_valid_i, p_ready_o, muxid, sr_op__insn_type,
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \o$23 ;
   reg [63:0] \o$23  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$23$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$83 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \o_ok$24 ;
   reg \o_ok$24  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$24$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$84 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] output_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \output_cr_a$53 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] output_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_muxid$29 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \output_o$51 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_o_ok$52 ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -183391,13 +183391,13 @@ module \pipe2$115 (coresync_rst, p_valid_i, p_ready_o, muxid, sr_op__insn_type,
   wire output_sr_op__write_cr0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \output_sr_op__write_cr0$38 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \output_xer_ca$54 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -183915,31 +183915,31 @@ module \pipe2$115 (coresync_rst, p_valid_i, p_ready_o, muxid, sr_op__insn_type,
   reg \sr_op__write_cr0$10$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire \sr_op__write_cr0$70 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$27 ;
   reg [1:0] \xer_ca$27  = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ca$27$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ca$87 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_ca_ok$28 ;
   reg \xer_ca_ok$28  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ca_ok$28$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$57 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ca_ok$88 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input xer_so_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$56 ;
   assign \$59  = \p_valid_i$58  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -184228,93 +184228,93 @@ module \pipe2$35 (coresync_rst, p_valid_i, p_ready_o, muxid, trap_op__insn_type,
   input coresync_rst;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$16 ;
   reg [63:0] \fast1$16  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast1$16$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast1$57 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast1_ok$58 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast1_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast2$17 ;
   reg [63:0] \fast2$17  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast2$17$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast2$59 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
   reg fast2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast2_ok$60 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast2_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast3$18 ;
   reg [63:0] \fast3$18  = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \fast3$18$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \fast3$36 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \fast3$61 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
   reg fast3_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \fast3_ok$62 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \fast3_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \main_fast1$34 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_fast1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \main_fast2$35 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_msr_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] main_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \main_muxid$19 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] main_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] main_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] main_svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire main_svstate_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -184574,19 +184574,19 @@ module \pipe2$35 (coresync_rst, p_valid_i, p_ready_o, muxid, trap_op__insn_type,
   wire [7:0] main_trap_op__traptype;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   wire [7:0] \main_trap_op__traptype$27 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] msr;
   reg [63:0] msr = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \msr$65 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \msr$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output msr_ok;
   reg msr_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \msr_ok$66 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \msr_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
@@ -184603,33 +184603,33 @@ module \pipe2$35 (coresync_rst, p_valid_i, p_ready_o, muxid, trap_op__insn_type,
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] nia;
   reg [63:0] nia = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \nia$63 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \nia$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
   reg nia_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \nia_ok$64 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \nia_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$55 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$56 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -184647,19 +184647,19 @@ module \pipe2$35 (coresync_rst, p_valid_i, p_ready_o, muxid, trap_op__insn_type,
   input [63:0] ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [31:0] svstate;
   reg [31:0] svstate = 32'd0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] \svstate$67 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [31:0] \svstate$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output svstate_ok;
   reg svstate_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \svstate_ok$68 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \svstate_ok$next ;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -185432,25 +185432,25 @@ module pipe_end(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn_type
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [3:0] cr_a;
   reg [3:0] cr_a = 4'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$113 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \cr_a$80 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [3:0] \cr_a$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   reg cr_a_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$114 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$79 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \cr_a_ok$81 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \cr_a_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
   input div_by_zero;
@@ -185990,25 +185990,25 @@ module pipe_end(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn_type
   input n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   output n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \o$111 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [63:0] \o$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \o_ok$112 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \o_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] output_cr_a;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] \output_cr_a$74 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_cr_a_ok;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -186312,13 +186312,13 @@ module pipe_end(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn_type
   wire [1:0] output_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_muxid$49 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \output_o$72 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_o_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_o_ok$73 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/pipe_data.py:162" *)
   wire output_stage_div_by_zero;
@@ -186632,33 +186632,33 @@ module pipe_end(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn_type
   wire [1:0] output_stage_muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   wire [1:0] \output_stage_muxid$25 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] output_stage_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_stage_o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:40" *)
   wire [63:0] output_stage_quotient_root;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
   wire [191:0] output_stage_remainder;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_stage_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_stage_xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire output_stage_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_stage_xer_so$48 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] output_xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \output_xer_ov$75 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \output_xer_so$76 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire output_xer_so_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   output p_ready_o;
@@ -186684,41 +186684,41 @@ module pipe_end(coresync_rst, p_valid_i, p_ready_o, muxid, logical_op__insn_type
   wire [63:0] \rb$78 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/div/fsm.py:41" *)
   input [191:0] remainder;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] xer_ov;
   reg [1:0] xer_ov = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] \xer_ov$115 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [1:0] \xer_ov$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$116 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_ov_ok$82 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_ov_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so$117 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$24 ;
   reg \xer_so$24  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so$24$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$118 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$83 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \xer_so_ok$84 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \xer_so_ok$next ;
   assign \$86  = \p_valid_i$85  & (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/singlepipe.py:629" *) p_ready_o;
   always @(posedge coresync_clk)
@@ -201019,13 +201019,13 @@ module shiftrot0(coresync_rst, oper_i_alu_shift_rot0__insn_type, oper_i_alu_shif
   wire alu_pulse;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
   wire [2:0] alu_pulsem;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [3:0] alu_shift_rot0_cr_a;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
   wire alu_shift_rot0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_shift_rot0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_shift_rot0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_shift_rot0_p_ready_o;
@@ -201226,7 +201226,7 @@ module shiftrot0(coresync_rst, oper_i_alu_shift_rot0__insn_type, oper_i_alu_shif
   reg alu_shift_rot0_sr_op__write_cr0 = 1'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg \alu_shift_rot0_sr_op__write_cr0$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_shift_rot0_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \alu_shift_rot0_xer_ca$1 ;
@@ -201244,7 +201244,7 @@ module shiftrot0(coresync_rst, oper_i_alu_shift_rot0__insn_type, oper_i_alu_shif
   input coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output cr_a_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:107" *)
   output cu_busy_o;
@@ -201301,7 +201301,7 @@ module shiftrot0(coresync_rst, oper_i_alu_shift_rot0__insn_type, oper_i_alu_shif
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [1:0] dest3_o;
   reg [1:0] dest3_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -201548,7 +201548,7 @@ module shiftrot0(coresync_rst, oper_i_alu_shift_rot0__insn_type, oper_i_alu_shif
   wire src_sel;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   assign \$100  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) cu_rdmaskn_i;
   assign \$102  = \$98  & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:347" *) \$100 ;
@@ -202388,7 +202388,7 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   wire alu_pulse;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
   wire [5:0] alu_pulsem;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_spr0_fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_spr0_fast1$2 ;
@@ -202396,7 +202396,7 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   wire alu_spr0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_spr0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_spr0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_spr0_p_ready_o;
@@ -202404,7 +202404,7 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   wire alu_spr0_p_valid_i;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] alu_spr0_ra;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_spr0_spr1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_spr0_spr1$1 ;
@@ -202541,15 +202541,15 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   reg [1:0] alu_spr0_spr_op__sv_saturate = 2'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/base_input_record.py:22" *)
   reg [1:0] \alu_spr0_spr_op__sv_saturate$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_spr0_xer_ca;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \alu_spr0_xer_ca$5 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [1:0] alu_spr0_xer_ov;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [1:0] \alu_spr0_xer_ov$4 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire alu_spr0_xer_so;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire \alu_spr0_xer_so$3 ;
@@ -202653,9 +202653,9 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [1:0] dest6_o;
   reg [1:0] dest6_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -202826,7 +202826,7 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   reg \rst_l_s_rst$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:227" *)
   wire rst_r;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:72" *)
   input [63:0] src1_i;
@@ -202876,11 +202876,11 @@ module spr0(coresync_rst, oper_i_alu_spr0__insn_type, oper_i_alu_spr0__fn_unit,
   reg [1:0] \src_r5$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   assign \$9  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:189" *) cu_rd__rel_o;
   assign \$100  = alu_spr0_n_valid_o & (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:334" *) alu_l_q_alu;
@@ -203561,20 +203561,20 @@ module spr_main(spr_op__insn_type, spr_op__fn_unit, spr_op__insn, spr_op__is_32b
   wire \$27 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \fast1$11 ;
   reg [63:0] \fast1$11 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
   reg fast1_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   input [1:0] muxid;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/concurrentunit.py:45" *)
   output [1:0] \muxid$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] o;
   reg [63:0] o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   reg o_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
@@ -203583,10 +203583,10 @@ module spr_main(spr_op__insn_type, spr_op__fn_unit, spr_op__insn, spr_op__is_32b
   wire [9:0] spr;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [63:0] spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [63:0] \spr1$10 ;
   reg [63:0] \spr1$10 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr1_ok;
   reg spr1_ok;
   (* enum_base_type = "SVPtype" *)
@@ -203825,26 +203825,26 @@ module spr_main(spr_op__insn_type, spr_op__fn_unit, spr_op__insn, spr_op__is_32b
   output [1:0] \spr_op__sv_saturate$8 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] xer_ca;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ca$14 ;
   reg [1:0] \xer_ca$14 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ca_ok;
   reg xer_ca_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input [1:0] xer_ov;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [1:0] \xer_ov$13 ;
   reg [1:0] \xer_ov$13 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_ov_ok;
   reg xer_ov_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   input xer_so;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output \xer_so$12 ;
   reg \xer_so$12 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output xer_so_ok;
   reg xer_so_ok;
   assign \$15  = spr == (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/spr/main_stage.py:64" *) 10'h001;
@@ -204114,10 +204114,10 @@ endmodule
 (* generator = "nMigen" *)
 module sprmap(spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_o;
   reg [2:0] fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_o_ok;
   reg fast_o_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
@@ -204236,10 +204236,10 @@ module sprmap(spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spr_o;
   reg [9:0] spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr_o_ok;
   reg spr_o_ok;
   always @* begin
@@ -205584,10 +205584,10 @@ endmodule
 (* generator = "nMigen" *)
 module \sprmap$174 (spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
   reg \initial  = 0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [2:0] fast_o;
   reg [2:0] fast_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast_o_ok;
   reg fast_o_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder2.py:75" *)
@@ -205706,10 +205706,10 @@ module \sprmap$174 (spr_o, spr_o_ok, fast_o, fast_o_ok, spr_i);
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output [9:0] spr_o;
   reg [9:0] spr_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output spr_o_ok;
   reg spr_o_ok;
   always @* begin
@@ -207056,6 +207056,10 @@ module sram4k_0(rst, enable, sram4k_0_wb__cyc, sram4k_0_wb__stb, sram4k_0_wb__ac
   reg \initial  = 0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
   wire \$1 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$3 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
   reg [8:0] a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
@@ -207093,6 +207097,8 @@ module sram4k_0(rst, enable, sram4k_0_wb__cyc, sram4k_0_wb__stb, sram4k_0_wb__ac
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
   reg [7:0] we;
   assign \$1  = sram4k_0_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_0_wb__stb;
+  assign \$3  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_0_wb__ack;
+  assign \$5  = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
   always @(posedge clk)
     sram4k_0_wb__ack <= \sram4k_0_wb__ack$next ;
   spblock_512w64b8w spblock_512w64b8w_0 (
@@ -207119,7 +207125,7 @@ module sram4k_0(rst, enable, sram4k_0_wb__cyc, sram4k_0_wb__stb, sram4k_0_wb__ac
     casez (enable)
       /* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
       1'h1:
-          \sram4k_0_wb__ack$next  = wb_active;
+          \sram4k_0_wb__ack$next  = \$5 ;
     endcase
     (* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
     casez (rst)
@@ -207200,6 +207206,10 @@ module sram4k_1(rst, enable, sram4k_1_wb__cyc, sram4k_1_wb__stb, sram4k_1_wb__ac
   reg \initial  = 0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
   wire \$1 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$3 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
   reg [8:0] a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
@@ -207237,6 +207247,8 @@ module sram4k_1(rst, enable, sram4k_1_wb__cyc, sram4k_1_wb__stb, sram4k_1_wb__ac
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
   reg [7:0] we;
   assign \$1  = sram4k_1_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_1_wb__stb;
+  assign \$3  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_1_wb__ack;
+  assign \$5  = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
   always @(posedge clk)
     sram4k_1_wb__ack <= \sram4k_1_wb__ack$next ;
   spblock_512w64b8w spblock_512w64b8w_1 (
@@ -207263,7 +207275,7 @@ module sram4k_1(rst, enable, sram4k_1_wb__cyc, sram4k_1_wb__stb, sram4k_1_wb__ac
     casez (enable)
       /* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
       1'h1:
-          \sram4k_1_wb__ack$next  = wb_active;
+          \sram4k_1_wb__ack$next  = \$5 ;
     endcase
     (* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
     casez (rst)
@@ -207344,6 +207356,10 @@ module sram4k_2(rst, enable, sram4k_2_wb__cyc, sram4k_2_wb__stb, sram4k_2_wb__ac
   reg \initial  = 0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
   wire \$1 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$3 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
   reg [8:0] a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
@@ -207381,6 +207397,8 @@ module sram4k_2(rst, enable, sram4k_2_wb__cyc, sram4k_2_wb__stb, sram4k_2_wb__ac
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
   reg [7:0] we;
   assign \$1  = sram4k_2_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_2_wb__stb;
+  assign \$3  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_2_wb__ack;
+  assign \$5  = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
   always @(posedge clk)
     sram4k_2_wb__ack <= \sram4k_2_wb__ack$next ;
   spblock_512w64b8w spblock_512w64b8w_2 (
@@ -207407,7 +207425,7 @@ module sram4k_2(rst, enable, sram4k_2_wb__cyc, sram4k_2_wb__stb, sram4k_2_wb__ac
     casez (enable)
       /* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
       1'h1:
-          \sram4k_2_wb__ack$next  = wb_active;
+          \sram4k_2_wb__ack$next  = \$5 ;
     endcase
     (* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
     casez (rst)
@@ -207488,6 +207506,10 @@ module sram4k_3(rst, enable, sram4k_3_wb__cyc, sram4k_3_wb__stb, sram4k_3_wb__ac
   reg \initial  = 0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *)
   wire \$1 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$3 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *)
+  wire \$5 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:44" *)
   reg [8:0] a;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
@@ -207525,6 +207547,8 @@ module sram4k_3(rst, enable, sram4k_3_wb__cyc, sram4k_3_wb__stb, sram4k_3_wb__ac
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:45" *)
   reg [7:0] we;
   assign \$1  = sram4k_3_wb__cyc & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:62" *) sram4k_3_wb__stb;
+  assign \$3  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) sram4k_3_wb__ack;
+  assign \$5  = wb_active & (* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:65" *) \$3 ;
   always @(posedge clk)
     sram4k_3_wb__ack <= \sram4k_3_wb__ack$next ;
   spblock_512w64b8w spblock_512w64b8w_3 (
@@ -207551,7 +207575,7 @@ module sram4k_3(rst, enable, sram4k_3_wb__cyc, sram4k_3_wb__stb, sram4k_3_wb__ac
     casez (enable)
       /* src = "/home/lkcl/src/libresoc/soc/src/soc/bus/SPBlock512W64B8W.py:59" */
       1'h1:
-          \sram4k_3_wb__ack$next  = wb_active;
+          \sram4k_3_wb__ack$next  = \$5 ;
     endcase
     (* src = "/home/lkcl/src/libresoc/nmigen/nmigen/hdl/xfrm.py:532" *)
     casez (rst)
@@ -209116,9 +209140,9 @@ module test_issuer(pc_i_ok, pc_o, memerr_o, core_bigendian_i, busy_o, TAP_bus__t
   output mtwi_sda__pad__o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/debug/jtag.py:75" *)
   output mtwi_sda__pad__oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] pc_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input pc_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:237" *)
   output [63:0] pc_o;
@@ -209484,13 +209508,13 @@ module test_issuer(pc_i_ok, pc_o, memerr_o, core_bigendian_i, busy_o, TAP_bus__t
   wire ti_coresync_clk;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:10" *)
   wire wrappll_clk_24_i;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:12" *)
   wire wrappll_clk_pll_o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:11" *)
   wire [1:0] wrappll_clk_sel_i;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
   wire wrappll_pll_test_o;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:15" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
   wire wrappll_pll_vco_o;
   ti ti (
     .TAP_bus__tck(TAP_bus__tck),
@@ -209845,7 +209869,7 @@ module test_issuer(pc_i_ok, pc_o, memerr_o, core_bigendian_i, busy_o, TAP_bus__t
     .pll_test_o(wrappll_pll_test_o),
     .pll_vco_o(wrappll_pll_vco_o)
   );
-  assign ti_coresync_clk = wrappll_clk_pll_o;
+  assign ti_coresync_clk = pllclk_clk;
   assign pllclk_rst = rst;
   assign wrappll_clk_sel_i = clk_sel_i;
   assign pll_vco_o = wrappll_pll_vco_o;
@@ -210050,7 +210074,7 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   wire \$36 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *)
   wire \$38 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] \$40 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *)
   wire \$42 ;
@@ -210128,9 +210152,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   output busy_o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:931" *)
   input clk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
   reg [7:0] core_asmcode = 8'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
   reg [7:0] \core_asmcode$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:240" *)
   input core_bigendian_i;
@@ -210166,21 +210190,21 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [1:0] core_core_core__sv_saturate = 2'h0;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   reg [1:0] \core_core_core__sv_saturate$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   reg [63:0] core_core_core_cia = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   reg [63:0] \core_core_core_cia$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [7:0] core_core_core_cr_rd = 8'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [7:0] \core_core_core_cr_rd$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_core_cr_rd_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_core_cr_rd_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [7:0] core_core_core_cr_wr = 8'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [7:0] \core_core_core_cr_wr$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   reg core_core_core_exc_alignment = 1'h0;
@@ -210230,21 +210254,21 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   reg [14:0] core_core_core_fn_unit = 15'h0000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   reg [14:0] \core_core_core_fn_unit$next ;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   reg [1:0] core_core_core_input_carry = 2'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   reg [1:0] \core_core_core_input_carry$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   reg [31:0] core_core_core_insn = 32'd0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   reg [31:0] \core_core_core_insn$next ;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -210323,125 +210347,125 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   reg [6:0] core_core_core_insn_type = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   reg [6:0] \core_core_core_insn_type$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   reg core_core_core_is_32bit = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   reg \core_core_core_is_32bit$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   reg [63:0] core_core_core_msr = 64'h0000000000000000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   reg [63:0] \core_core_core_msr$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_core_oe = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_core_oe$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_core_oe_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_core_oe_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_core_rc = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_core_rc$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_core_rc_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_core_rc_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   reg [31:0] core_core_core_svstate = 32'd0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   reg [31:0] \core_core_core_svstate$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   reg [12:0] core_core_core_trapaddr = 13'h0000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   reg [12:0] \core_core_core_trapaddr$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   reg [7:0] core_core_core_traptype = 8'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   reg [7:0] \core_core_core_traptype$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_cr_in1 = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_cr_in1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_cr_in1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_cr_in1_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_cr_in2 = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_cr_in2$1  = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_cr_in2$1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_cr_in2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_cr_in2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_cr_in2_ok$2  = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_cr_in2_ok$2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_cr_in2_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_cr_out = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_cr_out$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_cr_wr_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_cr_wr_ok$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
   reg [6:0] core_core_dststep = 7'h00;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
   reg [6:0] \core_core_dststep$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_ea = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_ea$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fast1 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fast1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_fast1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_fast1_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fast2 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fast2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_fast2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_fast2_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fast3 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fast3$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_fast3_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_fast3_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fasto1 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fasto1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fasto2 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fasto2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] core_core_fasto3 = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [2:0] \core_core_fasto3$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
   reg core_core_lk = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
   reg \core_core_lk$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:31" *)
   reg [6:0] core_core_maxvl = 7'h00;
@@ -210455,33 +210479,33 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [63:0] core_core_pc = 64'h0000000000000000;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
   reg [63:0] \core_core_pc$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_reg1 = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_reg1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_reg1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_reg1_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_reg2 = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_reg2$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_reg2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_reg2_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_reg3 = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_reg3$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_reg3_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_reg3_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] core_core_rego = 7'h00;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [6:0] \core_core_rego$next ;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000010010 = "DSISR" *)
@@ -210495,13 +210519,13 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1011000000 = "SVSTATE" *)
   (* enum_value_1011010000 = "PRTBL" *)
   (* enum_value_1011010001 = "SVSRR0" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [9:0] core_core_spr1 = 10'h000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [9:0] \core_core_spr1$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_core_spr1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_core_spr1_ok$next ;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000010010 = "DSISR" *)
@@ -210515,9 +210539,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1011000000 = "SVSTATE" *)
   (* enum_value_1011010000 = "PRTBL" *)
   (* enum_value_1011010001 = "SVSRR0" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [9:0] core_core_spro = 10'h000;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg [9:0] \core_core_spro$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:29" *)
   reg [6:0] core_core_srcstep = 7'h00;
@@ -210537,17 +210561,17 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [6:0] core_core_vl = 7'h00;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:30" *)
   reg [6:0] \core_core_vl$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
   reg [2:0] core_core_xer_in = 3'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
   reg [2:0] \core_core_xer_in$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:120" *)
   wire core_corebusy_o;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   wire core_coresync_rst;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_cr_out_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_cr_out_ok$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:34" *)
   wire core_cu_ad__go_i;
@@ -210571,9 +210595,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   wire [63:0] core_dmi__data_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   reg core_dmi__ren;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_ea_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_ea_ok$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:18" *)
   reg core_eint = 1'h0;
@@ -210581,17 +210605,17 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg \core_eint$next ;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   wire core_exc_o_happened;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_fasto1_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_fasto1_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_fasto2_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_fasto2_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_fasto3_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_fasto3_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [31:0] core_full_rd2__data_o;
@@ -210625,13 +210649,13 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [31:0] core_raw_insn_i = 32'd0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/core.py:110" *)
   reg [31:0] \core_raw_insn_i$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_rego_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_rego_ok$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg core_spro_ok = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   reg \core_spro_ok$next ;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [2:0] core_state_nia_wen;
@@ -210645,9 +210669,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [2:0] core_wen;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   reg [2:0] \core_wen$4 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
   reg core_xer_out = 1'h0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
   reg \core_xer_out$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:932" *)
   input coresync_clk;
@@ -210757,35 +210781,35 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_10 = "P2" *)
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [1:0] dec2_SV_Ptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:104" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:105" *)
   wire [7:0] dec2_asmcode;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:479" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:481" *)
   wire dec2_bigendian;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
   wire [63:0] dec2_cia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_cr_in1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_cr_in1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_cr_in2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] \dec2_cr_in2$7 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_cr_in2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire \dec2_cr_in2_ok$8 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_cr_out;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_cr_out_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] dec2_cr_rd;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_cr_rd_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [7:0] dec2_cr_wr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_cr_wr_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/sv/svstate.py:28" *)
   reg [6:0] dec2_cur_cur_dststep = 7'h00;
@@ -210827,9 +210851,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg [63:0] dec2_cur_pc = 64'h0000000000000000;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/state.py:16" *)
   reg [63:0] \dec2_cur_pc$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_ea;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_ea_ok;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   wire dec2_exc_alignment;
@@ -210847,29 +210871,29 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   wire dec2_exc_rc_error;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/exceptions.py:14" *)
   wire dec2_exc_segment_fault;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fast1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fast2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fast3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fasto1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fasto1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fasto2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fasto2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [2:0] dec2_fasto3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_fasto3_ok;
   (* enum_base_type = "Function" *)
   (* enum_value_000000000000000 = "NONE" *)
@@ -210887,15 +210911,15 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_001000000000000 = "SV" *)
   (* enum_value_010000000000000 = "VL" *)
   (* enum_value_100000000000000 = "FPU" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
   wire [14:0] dec2_fn_unit;
   (* enum_base_type = "CryIn" *)
   (* enum_value_00 = "ZERO" *)
   (* enum_value_01 = "ONE" *)
   (* enum_value_10 = "CA" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:56" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
   wire [1:0] dec2_input_carry;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:50" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
   wire [31:0] dec2_insn;
   (* enum_base_type = "MicrOp" *)
   (* enum_value_0000000 = "OP_ILLEGAL" *)
@@ -210974,41 +210998,41 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1001100 = "OP_SETVL" *)
   (* enum_value_1001101 = "OP_FPOP" *)
   (* enum_value_1001110 = "OP_FPOP_I" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:51" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:52" *)
   wire [6:0] dec2_insn_type;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:62" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:63" *)
   wire dec2_is_32bit;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:53" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:54" *)
   wire dec2_lk;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:45" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:46" *)
   wire [63:0] dec2_msr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_oe;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_oe_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   reg [31:0] dec2_raw_opcode_in = 32'd0;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:478" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/power_decoder.py:480" *)
   reg [31:0] \dec2_raw_opcode_in$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_rc;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_rc_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_reg1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_reg1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_reg2;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_reg2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_reg3;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_reg3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [6:0] dec2_rego;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_rego_ok;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000000001 = "XER" *)
@@ -211124,9 +211148,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] dec2_spr1;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_spr1_ok;
   (* enum_base_type = "SPR" *)
   (* enum_value_0000000001 = "XER" *)
@@ -211242,9 +211266,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_1110000000 = "PPR" *)
   (* enum_value_1110000010 = "PPR32" *)
   (* enum_value_1111111111 = "PIR" *)
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [9:0] dec2_spro;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire dec2_spro_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire dec2_sv_pred_dz;
@@ -211256,15 +211280,15 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   (* enum_value_10 = "UNSIGNED" *)
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:97" *)
   wire [1:0] dec2_sv_saturate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:47" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:48" *)
   wire [31:0] dec2_svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:59" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:60" *)
   wire [12:0] dec2_trapaddr;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:57" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:58" *)
   wire [7:0] dec2_traptype;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:114" *)
-  wire [2:0] dec2_xer_in;
   (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:115" *)
+  wire [2:0] dec2_xer_in;
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:116" *)
   wire dec2_xer_out;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:936" *)
   reg [1:0] delay = 2'h3;
@@ -211672,9 +211696,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg pc_changed = 1'h0;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:977" *)
   reg \pc_changed$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input [63:0] pc_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   input pc_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:237" *)
   output [63:0] pc_o;
@@ -212056,9 +212080,9 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   reg \sv_changed$next ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:64" *)
   reg [63:0] svstate;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] svstate_i;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire svstate_i_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:65" *)
   reg svstate_ok_delay = 1'h0;
@@ -212172,7 +212196,7 @@ module ti(rst, coresync_clk, busy_o, core_bigendian_i, pc_o, pc_i_ok, pc_i, dbus
   assign \$34  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
   assign \$36  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:67" *) svstate_i_ok;
   assign \$38  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
-  assign \$40  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *) svstate_i;
+  assign \$40  = + (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *) svstate_i;
   assign \$42  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:66" *) core_coresync_rst;
   assign \$44  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:615" *) dbg_core_stop_o;
   assign \$46  = ~ (* src = "/home/lkcl/src/libresoc/soc/src/soc/simple/issuer.py:615" *) core_coresync_rst;
@@ -215449,27 +215473,27 @@ module trap0(coresync_rst, oper_i_alu_trap0__insn_type, oper_i_alu_trap0__fn_uni
   wire alu_pulse;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:198" *)
   wire [6:0] alu_pulsem;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_fast1;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_trap0_fast1$1 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_fast2;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_trap0_fast2$2 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_fast3;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] \alu_trap0_fast3$3 ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_msr;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:253" *)
   wire alu_trap0_n_ready_i;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:252" *)
   wire alu_trap0_n_valid_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_nia;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [63:0] alu_trap0_o;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/iocontrol.py:160" *)
   wire alu_trap0_p_ready_o;
@@ -215479,7 +215503,7 @@ module trap0(coresync_rst, oper_i_alu_trap0__insn_type, oper_i_alu_trap0__fn_uni
   wire [63:0] alu_trap0_ra;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/fu/pipe_data.py:31" *)
   wire [63:0] alu_trap0_rb;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   wire [31:0] alu_trap0_svstate;
   (* enum_base_type = "SVPtype" *)
   (* enum_value_00 = "NONE" *)
@@ -215749,17 +215773,17 @@ module trap0(coresync_rst, oper_i_alu_trap0__insn_type, oper_i_alu_trap0__fn_uni
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:84" *)
   output [31:0] dest7_o;
   reg [31:0] dest7_o;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast1_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast2_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output fast3_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output msr_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output nia_ok;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output o_ok;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:67" *)
   wire opc_l_q_opc;
@@ -215982,7 +216006,7 @@ module trap0(coresync_rst, oper_i_alu_trap0__insn_type, oper_i_alu_trap0__fn_uni
   reg [63:0] src_r4 = 64'h0000000000000000;
   (* src = "/home/lkcl/src/libresoc/nmutil/src/nmutil/latch.py:46" *)
   reg [63:0] \src_r4$next ;
-  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:20" *)
+  (* src = "/home/lkcl/src/libresoc/openpower-isa/src/openpower/decoder/decode2execute1.py:21" *)
   output svstate_ok;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/experiment/compalu_multi.py:211" *)
   wire wr_any;
@@ -216824,22 +216848,37 @@ endmodule
 module wrappll(clk_24_i, pll_test_o, pll_vco_o, clk_sel_i, clk_pll_o);
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:10" *)
   input clk_24_i;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:20" *)
+  wire \clk_24_i$1 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:12" *)
   output clk_pll_o;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:22" *)
+  wire \clk_pll_o$3 ;
   (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:11" *)
   input [1:0] clk_sel_i;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:21" *)
+  wire [1:0] \clk_sel_i$2 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:13" *)
   output pll_test_o;
-  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:15" *)
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:23" *)
+  wire \pll_test_o$4 ;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:14" *)
   output pll_vco_o;
+  (* src = "/home/lkcl/src/libresoc/soc/src/soc/clock/dummypll.py:24" *)
+  wire \pll_vco_o$5 ;
   pll real_pll (
-    .a0(clk_sel_i[0]),
-    .a1(clk_sel_i[1]),
-    .div_out_test(pll_test_o),
-    .out_v(clk_pll_o),
-    .\ref (clk_24_i),
-    .vco_test_ana(pll_vco_o)
+    .a0(\clk_sel_i$2 [0]),
+    .a1(\clk_sel_i$2 [1]),
+    .div_out_test(\pll_test_o$4 ),
+    .out_v(\clk_pll_o$3 ),
+    .\ref (\clk_24_i$1 ),
+    .vco_test_ana(\pll_vco_o$5 )
   );
+  assign pll_vco_o = \pll_vco_o$5 ;
+  assign pll_test_o = \pll_test_o$4 ;
+  assign clk_pll_o = \clk_pll_o$3 ;
+  assign \clk_sel_i$2  = clk_sel_i;
+  assign \clk_24_i$1  = clk_24_i;
 endmodule
 
 (* \nmigen.hierarchy  = "test_issuer.ti.core.fus.ldst0.wri_l" *)