alway_comb nested if support
authorTobias Platen <tplaten@posteo.de>
Sat, 25 Jan 2020 09:55:08 +0000 (10:55 +0100)
committerTobias Platen <tplaten@posteo.de>
Sat, 25 Jan 2020 09:55:08 +0000 (10:55 +0100)
absyn.py
parse_sv.py

index 413e51301580f81b58f54dd12e3e1b0dc6ebbc2b..2931be810ea3533f828bcd85c9c4e576bf00000b 100644 (file)
--- a/absyn.py
+++ b/absyn.py
@@ -54,6 +54,13 @@ class CondStatement:
         self.elsepart = elsepart
 
 
+def makeBlock(x):
+    if(type(x) == Assignment):
+        return [x]
+    else:
+        return x.statements
+
+
 class Absyn:
     def __init__(self, outputfn):
         self.outputfn = outputfn
@@ -146,7 +153,7 @@ class Absyn:
         stmts.children.append(Leaf(token.STRING, "):"))
         stmts.children.append(self.nl())
 
-        for c1 in c.ifpart.statements:
+        for c1 in makeBlock(c.ifpart):
             if(type(c1) == Assignment):
                 self.do_assign(c1, stmts, indent+1)
             else:
@@ -157,7 +164,7 @@ class Absyn:
             stmts.children.append(Leaf(token.STRING, "with m.Else():"))
             stmts.children.append(self.nl())
 
-            for c1 in c.elsepart.statements:
+            for c1 in makeBlock(c.elsepart):
                 if(type(c1) == Assignment):
                     self.do_assign(c1, stmts, indent+1)
                 else:
index 2591398add2dc8109d7ac85c201f3b497c21b2bd..6a153fffe282fa2aafa6f7a762e98809ef11656e 100644 (file)
@@ -141,6 +141,7 @@ def p_source_text_2(p):
 def p__embed0_source_text(p):
     '''_embed0_source_text : '''
 
+
     # { pform_set_scope_timescale(yyloc); }
 ()
 
@@ -159,6 +160,7 @@ def p_assignment_pattern_1(p):
     if(parse_debug):
         print('assignment_pattern_1', list(p))
 
+
     # { PEAssignPattern*tmp = new PEAssignPattern(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -172,6 +174,7 @@ def p_assignment_pattern_2(p):
     if(parse_debug):
         print('assignment_pattern_2', list(p))
 
+
     # { PEAssignPattern*tmp = new PEAssignPattern;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -202,6 +205,7 @@ def p_class_declaration_1(p):
     if(parse_debug):
         print('class_declaration_1', list(p))
 
+
     # { // Wrap up the class.
     #  if (p[11] && p[4] && p[4]->name != p[11]) {
     #        yyerror(@11, "error: Class end label doesn't match class name.");
@@ -214,6 +218,7 @@ def p_class_declaration_1(p):
 def p__embed0_class_declaration(p):
     '''_embed0_class_declaration : '''
 
+
     # { pform_start_class_declaration(@2, p[4], p[5].type, p[5].exprs, p[3]); }
 ()
 
@@ -221,6 +226,7 @@ def p__embed0_class_declaration(p):
 def p__embed1_class_declaration(p):
     '''_embed1_class_declaration : '''
 
+
     # { // Process a class.
     #  pform_end_class_declaration(@9);
     #       }
@@ -250,6 +256,7 @@ def p_class_identifier_1(p):
     if(parse_debug):
         print('class_identifier_1', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[1]);
@@ -267,6 +274,7 @@ def p_class_identifier_2(p):
     if(parse_debug):
         print('class_identifier_2', list(p))
 
+
     # { class_type_t*tmp = dynamic_cast<class_type_t*>(p[1].type);
     #  if (tmp == 0) {
     #        yyerror(@1, "Type name \"%s\"is not a predeclared class name.", p[1].text);
@@ -282,6 +290,7 @@ def p_class_declaration_endlabel_opt_1(p):
     if(parse_debug):
         print('class_declaration_endlabel_opt_1', list(p))
 
+
     # { class_type_t*tmp = dynamic_cast<class_type_t*> (p[2].type);
     #  if (tmp == 0) {
     #        yyerror(@2, "error: class declaration endlabel \"%s\" is not a class name\n", p[2].text);
@@ -309,6 +318,7 @@ def p_class_declaration_endlabel_opt_3(p):
     if(parse_debug):
         print('class_declaration_endlabel_opt_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -318,6 +328,7 @@ def p_class_declaration_extends_opt_1(p):
     if(parse_debug):
         print('class_declaration_extends_opt_1', list(p))
 
+
     # { p[0].type = p[2].type;
     #  p[0].exprs= 0;
     #  delete[]p[2].text;
@@ -330,6 +341,7 @@ def p_class_declaration_extends_opt_2(p):
     if(parse_debug):
         print('class_declaration_extends_opt_2', list(p))
 
+
     # { p[0].type  = p[2].type;
     #  p[0].exprs = p[4];
     #  delete[]p[2].text;
@@ -342,6 +354,7 @@ def p_class_declaration_extends_opt_3(p):
     if(parse_debug):
         print('class_declaration_extends_opt_3', list(p))
 
+
     # { p[0].type = 0; p[0].exprs = 0; }
 ()
 
@@ -387,6 +400,7 @@ def p_class_item_1(p):
     if(parse_debug):
         print('class_item_1', list(p))
 
+
     # { current_function->set_ports(p[6]);
     #  pform_set_constructor_return(current_function);
     #  pform_set_this_class(@3, current_function);
@@ -402,6 +416,7 @@ def p_class_item_2(p):
     if(parse_debug):
         print('class_item_2', list(p))
 
+
     # { pform_class_property(@2, p[1], p[2], p[3]); }
 ()
 
@@ -411,6 +426,7 @@ def p_class_item_3(p):
     if(parse_debug):
         print('class_item_3', list(p))
 
+
     # { pform_class_property(@1, p[2] | property_qualifier_t::make_const(), p[3], p[4]); }
 ()
 
@@ -420,6 +436,7 @@ def p_class_item_4(p):
     if(parse_debug):
         print('class_item_4', list(p))
 
+
     # { /* The task_declaration rule puts this into the class */ }
 ()
 
@@ -429,6 +446,7 @@ def p_class_item_5(p):
     if(parse_debug):
         print('class_item_5', list(p))
 
+
     # { /* The function_declaration rule puts this into the class */ }
 ()
 
@@ -438,6 +456,7 @@ def p_class_item_6(p):
     if(parse_debug):
         print('class_item_6', list(p))
 
+
     # { yyerror(@1, "sorry: External constructors are not yet supported."); }
 ()
 
@@ -447,6 +466,7 @@ def p_class_item_7(p):
     if(parse_debug):
         print('class_item_7', list(p))
 
+
     # { yyerror(@1, "sorry: External constructors are not yet supported."); }
 ()
 
@@ -456,6 +476,7 @@ def p_class_item_8(p):
     if(parse_debug):
         print('class_item_8', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[5];
     #       }
@@ -467,6 +488,7 @@ def p_class_item_9(p):
     if(parse_debug):
         print('class_item_9', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[5];
     #       }
@@ -478,6 +500,7 @@ def p_class_item_10(p):
     if(parse_debug):
         print('class_item_10', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[4];
     #       }
@@ -489,6 +512,7 @@ def p_class_item_11(p):
     if(parse_debug):
         print('class_item_11', list(p))
 
+
     # { yyerror(@1, "sorry: External methods are not yet supported.");
     #  delete[] p[4];
     #       }
@@ -509,6 +533,7 @@ def p_class_item_13(p):
     if(parse_debug):
         print('class_item_13', list(p))
 
+
     # { yyerror(@3, "error: Errors in variable names after data type.");
     #  yyerrok;
     #       }
@@ -520,6 +545,7 @@ def p_class_item_14(p):
     if(parse_debug):
         print('class_item_14', list(p))
 
+
     # { yyerror(@3, "error: %s doesn't name a type.", p[2]);
     #  yyerrok;
     #       }
@@ -531,6 +557,7 @@ def p_class_item_15(p):
     if(parse_debug):
         print('class_item_15', list(p))
 
+
     # { yyerror(@1, "error: I give up on this class constructor declaration.");
     #  yyerrok;
     #       }
@@ -542,6 +569,7 @@ def p_class_item_16(p):
     if(parse_debug):
         print('class_item_16', list(p))
 
+
     # { yyerror(@2, "error: invalid class item.");
     #  yyerrok;
     #       }
@@ -551,6 +579,7 @@ def p_class_item_16(p):
 def p__embed0_class_item(p):
     '''_embed0_class_item : '''
 
+
     # { assert(current_function==0);
     #  current_function = pform_push_constructor_scope(@3);
     #       }
@@ -562,6 +591,7 @@ def p_class_item_qualifier_1(p):
     if(parse_debug):
         print('class_item_qualifier_1', list(p))
 
+
     # { p[0] = property_qualifier_t::make_static(); }
 ()
 
@@ -571,6 +601,7 @@ def p_class_item_qualifier_2(p):
     if(parse_debug):
         print('class_item_qualifier_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_protected(); }
 ()
 
@@ -580,6 +611,7 @@ def p_class_item_qualifier_3(p):
     if(parse_debug):
         print('class_item_qualifier_3', list(p))
 
+
     # { p[0] = property_qualifier_t::make_local(); }
 ()
 
@@ -589,6 +621,7 @@ def p_class_item_qualifier_list_1(p):
     if(parse_debug):
         print('class_item_qualifier_list_1', list(p))
 
+
     # { p[0] = p[1] | p[2]; }
 ()
 
@@ -618,6 +651,7 @@ def p_class_item_qualifier_opt_2(p):
     if(parse_debug):
         print('class_item_qualifier_opt_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_none(); }
 ()
 
@@ -627,6 +661,7 @@ def p_class_new_1(p):
     if(parse_debug):
         print('class_new_1', list(p))
 
+
     # { list<PExpr*>*expr_list = p[3];
     #  strip_tail_items(expr_list);
     #  PENewClass*tmp = new PENewClass(*expr_list);
@@ -642,6 +677,7 @@ def p_class_new_2(p):
     if(parse_debug):
         print('class_new_2', list(p))
 
+
     # { PEIdent*tmpi = new PEIdent(*p[2]);
     #  FILE_NAME(tmpi, @2);
     #  PENewCopy*tmp = new PENewCopy(tmpi);
@@ -657,6 +693,7 @@ def p_class_new_3(p):
     if(parse_debug):
         print('class_new_3', list(p))
 
+
     # { PENewClass*tmp = new PENewClass;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -669,6 +706,7 @@ def p_concurrent_assertion_item_1(p):
     if(parse_debug):
         print('concurrent_assertion_item_1', list(p))
 
+
     # { /* */
     #  if (gn_assertions_flag) {
     #        yyerror(@2, "sorry: concurrent_assertion_item not supported."
@@ -683,6 +721,7 @@ def p_concurrent_assertion_item_2(p):
     if(parse_debug):
         print('concurrent_assertion_item_2', list(p))
 
+
     # { yyerrok;
     #         yyerror(@2, "error: Error in property_spec of concurrent assertion item.");
     #       }
@@ -739,6 +778,7 @@ def p_constraint_declaration_1(p):
     if(parse_debug):
         print('constraint_declaration_1', list(p))
 
+
     # { yyerror(@2, "sorry: Constraint declarations not supported."); }
 ()
 
@@ -748,6 +788,7 @@ def p_constraint_declaration_2(p):
     if(parse_debug):
         print('constraint_declaration_2', list(p))
 
+
     # { yyerror(@4, "error: Errors in the constraint block item list."); }
 ()
 
@@ -829,6 +870,7 @@ def p_constraint_prototype_1(p):
     if(parse_debug):
         print('constraint_prototype_1', list(p))
 
+
     # { yyerror(@2, "sorry: Constraint prototypes not supported."); }
 ()
 
@@ -856,6 +898,7 @@ def p_data_declaration_1(p):
     if(parse_debug):
         print('data_declaration_1', list(p))
 
+
     # { data_type_t*data_type = p[2];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -880,6 +923,7 @@ def p_data_type_1(p):
     dt.reg_flag = reg_flag
     p[0] = dt
 
+
     # { ivl_variable_type_t use_vtype = p[1];
     #  bool reg_flag = false;
     #  if (use_vtype == IVL_VT_NO_TYPE) {
@@ -900,6 +944,7 @@ def p_data_type_2(p):
         print('data_type_2', list(p))
     p[0] = p[1]
 
+
     # { real_type_t*tmp = new real_type_t(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -913,6 +958,7 @@ def p_data_type_3(p):
         print('data_type_3', list(p))
     p[0] = p[1]
 
+
     # { if (!p[1]->packed_flag) {
     #        yyerror(@1, "sorry: Unpacked structs not supported.");
     #  }
@@ -936,6 +982,7 @@ def p_data_type_5(p):
     if(parse_debug):
         print('data_type_5', list(p))
 
+
     # { atom2_type_t*tmp = new atom2_type_t(p[1], p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -948,6 +995,7 @@ def p_data_type_6(p):
     if(parse_debug):
         print('data_type_6', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(integer_width);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[2], pd);
     #  tmp->reg_flag = true;
@@ -962,6 +1010,7 @@ def p_data_type_7(p):
     if(parse_debug):
         print('data_type_7', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(64);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
     #  tmp->reg_flag = !gn_system_verilog();
@@ -975,6 +1024,7 @@ def p_data_type_8(p):
     if(parse_debug):
         print('data_type_8', list(p))
 
+
     # { if (p[2]) {
     #        parray_type_t*tmp = new parray_type_t(p[1].type, p[2]);
     #        FILE_NAME(tmp, @1);
@@ -990,6 +1040,7 @@ def p_data_type_9(p):
     if(parse_debug):
         print('data_type_9', list(p))
 
+
     # { lex_in_package_scope(0);
     #  p[0] = p[4].type;
     #  delete[]p[4].text;
@@ -1002,6 +1053,7 @@ def p_data_type_10(p):
     if(parse_debug):
         print('data_type_10', list(p))
 
+
     # { string_type_t*tmp = new string_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1012,6 +1064,7 @@ def p_data_type_10(p):
 def p__embed0_data_type(p):
     '''_embed0_data_type : '''
 
+
     # { lex_in_package_scope(p[1]); }
 ()
 
@@ -1031,6 +1084,7 @@ def p_data_type_or_implicit_2(p):
     if(parse_debug):
         print('data_type_or_implicit_2', list(p))
 
+
     # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, p[1], p[2]);
     #  tmp->implicit_flag = true;
     #  FILE_NAME(tmp, @1);
@@ -1045,6 +1099,7 @@ def p_data_type_or_implicit_3(p):
         print('data_type_or_implicit_3', list(p))
     p[0] = list(p)
 
+
     # { vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, p[1]);
     #  tmp->implicit_flag = true;
     #  FILE_NAME(tmp, @1);
@@ -1058,6 +1113,7 @@ def p_data_type_or_implicit_4(p):
     if(parse_debug > 2):
         print('data_type_or_implicit_4', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -1077,6 +1133,7 @@ def p_data_type_or_implicit_or_void_2(p):
     if(parse_debug):
         print('data_type_or_implicit_or_void_2', list(p))
 
+
     # { void_type_t*tmp = new void_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1152,6 +1209,7 @@ def p_description_8(p):
     if(parse_debug):
         print('description_8', list(p))
 
+
     # { perm_string tmp3 = lex_strings.make(p[3]);
     #  pform_set_type_attrib(tmp3, p[5], p[7]);
     #  delete[] p[3];
@@ -1201,6 +1259,7 @@ def p_dynamic_array_new_1(p):
     if(parse_debug):
         print('dynamic_array_new_1', list(p))
 
+
     # { p[0] = new PENewArray(p[3], 0);
     #  FILE_NAME(p[0], @1);
     #       }
@@ -1212,6 +1271,7 @@ def p_dynamic_array_new_2(p):
     if(parse_debug):
         print('dynamic_array_new_2', list(p))
 
+
     # { p[0] = new PENewArray(p[3], p[6]);
     #  FILE_NAME(p[0], @1);
     #       }
@@ -1223,6 +1283,7 @@ def p_for_step_1(p):
     if(parse_debug):
         print('for_step_1', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1235,6 +1296,7 @@ def p_for_step_2(p):
     if(parse_debug):
         print('for_step_2', list(p))
 
+
     # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); }
 ()
 
@@ -1254,6 +1316,7 @@ def p_function_declaration_1(p):
     if(parse_debug):
         print('function_declaration_1', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[11]) {
     #        if (strcmp(p[4],p[11]) != 0) {
@@ -1276,6 +1339,7 @@ def p_function_declaration_2(p):
     if(parse_debug):
         print('function_declaration_2', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[14]) {
     #        if (strcmp(p[4],p[14]) != 0) {
@@ -1298,6 +1362,7 @@ def p_function_declaration_3(p):
     if(parse_debug):
         print('function_declaration_3', list(p))
 
+
     # { // Last step: check any closing name.
     #  if (p[8]) {
     #        if (strcmp(p[4],p[8]) != 0) {
@@ -1317,6 +1382,7 @@ def p_function_declaration_3(p):
 def p__embed0_function_declaration(p):
     '''_embed0_function_declaration : '''
 
+
     # { assert(current_function == 0);
     #  current_function = pform_push_function_scope(@1, p[4], p[2]);
     #       }
@@ -1326,6 +1392,7 @@ def p__embed0_function_declaration(p):
 def p__embed1_function_declaration(p):
     '''_embed1_function_declaration : '''
 
+
     # { current_function->set_ports(p[7]);
     #  current_function->set_return(p[3]);
     #  current_function_set_statement(p[8]? @8 : @4, p[8]);
@@ -1339,6 +1406,7 @@ def p__embed1_function_declaration(p):
 def p__embed2_function_declaration(p):
     '''_embed2_function_declaration : '''
 
+
     # { assert(current_function == 0);
     #  current_function = pform_push_function_scope(@1, p[4], p[2]);
     #       }
@@ -1348,6 +1416,7 @@ def p__embed2_function_declaration(p):
 def p__embed3_function_declaration(p):
     '''_embed3_function_declaration : '''
 
+
     # { current_function->set_ports(p[7]);
     #  current_function->set_return(p[3]);
     #  current_function_set_statement(p[11]? @11 : @4, p[11]);
@@ -1364,6 +1433,7 @@ def p__embed3_function_declaration(p):
 def p__embed4_function_declaration(p):
     '''_embed4_function_declaration : '''
 
+
     # { /* */
     #  if (current_function) {
     #        pform_pop_scope();
@@ -1401,6 +1471,7 @@ def p_implicit_class_handle_1(p):
     if(parse_debug):
         print('implicit_class_handle_1', list(p))
 
+
     # { p[0] = pform_create_this(); }
 ()
 
@@ -1410,6 +1481,7 @@ def p_implicit_class_handle_2(p):
     if(parse_debug):
         print('implicit_class_handle_2', list(p))
 
+
     # { p[0] = pform_create_super(); }
 ()
 
@@ -1419,6 +1491,7 @@ def p_inc_or_dec_expression_1(p):
     if(parse_debug):
         print('inc_or_dec_expression_1', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('I', p[2]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -1431,6 +1504,7 @@ def p_inc_or_dec_expression_2(p):
     if(parse_debug):
         print('inc_or_dec_expression_2', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('i', p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1443,6 +1517,7 @@ def p_inc_or_dec_expression_3(p):
     if(parse_debug):
         print('inc_or_dec_expression_3', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('D', p[2]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -1455,6 +1530,7 @@ def p_inc_or_dec_expression_4(p):
     if(parse_debug):
         print('inc_or_dec_expression_4', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('d', p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1467,6 +1543,7 @@ def p_inside_expression_1(p):
     if(parse_debug):
         print('inside_expression_1', list(p))
 
+
     # { yyerror(@2, "sorry: \"inside\" expressions not supported yet.");
     #  p[0] = None
     #       }
@@ -1508,6 +1585,7 @@ def p_integer_vector_type_4(p):
     if(parse_debug):
         print('integer_vector_type_4', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; }
 ()
 
@@ -1517,6 +1595,7 @@ def p_join_keyword_1(p):
     if(parse_debug):
         print('join_keyword_1', list(p))
 
+
     # { p[0] = PBlock::BL_PAR; }
 ()
 
@@ -1526,6 +1605,7 @@ def p_join_keyword_2(p):
     if(parse_debug):
         print('join_keyword_2', list(p))
 
+
     # { p[0] = PBlock::BL_JOIN_NONE; }
 ()
 
@@ -1535,6 +1615,7 @@ def p_join_keyword_3(p):
     if(parse_debug):
         print('join_keyword_3', list(p))
 
+
     # { p[0] = PBlock::BL_JOIN_ANY; }
 ()
 
@@ -1544,6 +1625,7 @@ def p_jump_statement_1(p):
     if(parse_debug):
         print('jump_statement_1', list(p))
 
+
     # { yyerror(@1, "sorry: break statements not supported.");
     #  p[0] = None
     #       }
@@ -1555,6 +1637,7 @@ def p_jump_statement_2(p):
     if(parse_debug):
         print('jump_statement_2', list(p))
 
+
     # { PReturn*tmp = new PReturn(0);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1567,6 +1650,7 @@ def p_jump_statement_3(p):
     if(parse_debug):
         print('jump_statement_3', list(p))
 
+
     # { PReturn*tmp = new PReturn(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1579,6 +1663,7 @@ def p_lifetime_1(p):
     if(parse_debug):
         print('lifetime_1', list(p))
 
+
     # { p[0] = LexicalScope::AUTOMATIC; }
 ()
 
@@ -1588,6 +1673,7 @@ def p_lifetime_2(p):
     if(parse_debug):
         print('lifetime_2', list(p))
 
+
     # { p[0] = LexicalScope::STATIC; }
 ()
 
@@ -1607,6 +1693,7 @@ def p_lifetime_opt_2(p):
     if(parse_debug > 2):
         print('lifetime_opt_2', list(p))
 
+
     # { p[0] = LexicalScope::INHERITED; }
 ()
 
@@ -1616,6 +1703,7 @@ def p_loop_statement_1(p):
     if(parse_debug):
         print('loop_statement_1', list(p))
 
+
     # { PForStatement*tmp = new PForStatement(p[3], p[5], p[7], p[9], p[11]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1628,6 +1716,7 @@ def p_loop_statement_2(p):
     if(parse_debug):
         print('loop_statement_2', list(p))
 
+
     # { pform_name_t tmp_hident;
     #  tmp_hident.push_back(name_component_t(lex_strings.make(p[4])));
     #
@@ -1654,6 +1743,7 @@ def p_loop_statement_3(p):
     if(parse_debug):
         print('loop_statement_3', list(p))
 
+
     # { PForever*tmp = new PForever(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1666,6 +1756,7 @@ def p_loop_statement_4(p):
     if(parse_debug):
         print('loop_statement_4', list(p))
 
+
     # { PRepeat*tmp = new PRepeat(p[3], p[5]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1678,6 +1769,7 @@ def p_loop_statement_5(p):
     if(parse_debug):
         print('loop_statement_5', list(p))
 
+
     # { PWhile*tmp = new PWhile(p[3], p[5]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1690,6 +1782,7 @@ def p_loop_statement_6(p):
     if(parse_debug):
         print('loop_statement_6', list(p))
 
+
     # { PDoWhile*tmp = new PDoWhile(p[5], p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -1702,6 +1795,7 @@ def p_loop_statement_7(p):
     if(parse_debug):
         print('loop_statement_7', list(p))
 
+
     # { PForeach*tmp_for = pform_make_foreach(@1, p[3], p[5], p[9]);
     #
     #  pform_pop_scope();
@@ -1720,6 +1814,7 @@ def p_loop_statement_8(p):
     if(parse_debug):
         print('loop_statement_8', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in for loop step assignment.");
     #       }
@@ -1731,6 +1826,7 @@ def p_loop_statement_9(p):
     if(parse_debug):
         print('loop_statement_9', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in for loop condition expression.");
     #       }
@@ -1742,6 +1838,7 @@ def p_loop_statement_10(p):
     if(parse_debug):
         print('loop_statement_10', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Incomprehensible for loop.");
     #       }
@@ -1753,6 +1850,7 @@ def p_loop_statement_11(p):
     if(parse_debug):
         print('loop_statement_11', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in while loop condition.");
     #       }
@@ -1764,6 +1862,7 @@ def p_loop_statement_12(p):
     if(parse_debug):
         print('loop_statement_12', list(p))
 
+
     # { p[0] = None
     #  yyerror(@1, "error: Error in do/while loop condition.");
     #       }
@@ -1775,6 +1874,7 @@ def p_loop_statement_13(p):
     if(parse_debug):
         print('loop_statement_13', list(p))
 
+
     # { p[0] = None
     #         yyerror(@4, "error: Errors in foreach loop variables list.");
     #       }
@@ -1784,6 +1884,7 @@ def p_loop_statement_13(p):
 def p__embed0_loop_statement(p):
     '''_embed0_loop_statement : '''
 
+
     # { static unsigned for_counter = 0;
     #  char for_block_name [64];
     #  snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_for_loop%u", for_counter);
@@ -1804,6 +1905,7 @@ def p__embed0_loop_statement(p):
 def p__embed1_loop_statement(p):
     '''_embed1_loop_statement : '''
 
+
     # { static unsigned foreach_counter = 0;
     #  char for_block_name[64];
     #  snif(parse_debug): printf(for_block_name, sizeof for_block_name, "$ivl_foreach%u", foreach_counter);
@@ -1823,6 +1925,7 @@ def p_list_of_variable_decl_assignments_1(p):
     if(parse_debug):
         print('list_of_variable_decl_assignments_1', list(p))
 
+
     # { list<decl_assignment_t*>*tmp = new list<decl_assignment_t*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -1835,6 +1938,7 @@ def p_list_of_variable_decl_assignments_2(p):
     if(parse_debug):
         print('list_of_variable_decl_assignments_2', list(p))
 
+
     # { list<decl_assignment_t*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -1847,6 +1951,7 @@ def p_variable_decl_assignment_1(p):
     if(parse_debug):
         print('variable_decl_assignment_1', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  if (p[2]) {
@@ -1864,6 +1969,7 @@ def p_variable_decl_assignment_2(p):
     if(parse_debug):
         print('variable_decl_assignment_2', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  tmp->expr .reset(p[3]);
@@ -1878,6 +1984,7 @@ def p_variable_decl_assignment_3(p):
     if(parse_debug):
         print('variable_decl_assignment_3', list(p))
 
+
     # { decl_assignment_t*tmp = new decl_assignment_t;
     #  tmp->name = lex_strings.make(p[1]);
     #  PENewClass*expr = new PENewClass;
@@ -1894,6 +2001,7 @@ def p_loop_variables_1(p):
     if(parse_debug):
         print('loop_variables_1', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #  tmp->push_back(lex_strings.make(p[3]));
     #  delete[]p[3];
@@ -1907,6 +2015,7 @@ def p_loop_variables_2(p):
     if(parse_debug):
         print('loop_variables_2', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #  tmp->push_back(lex_strings.make(p[1]));
     #  delete[]p[1];
@@ -1963,6 +2072,7 @@ def p_modport_declaration_1(p):
 def p__embed0_modport_declaration(p):
     '''_embed0_modport_declaration : '''
 
+
     # { if (!pform_in_interface())
     #        yyerror(@1, "error: modport declarations are only allowed "
     #                    "in interfaces.");
@@ -1993,6 +2103,7 @@ def p_modport_item_1(p):
     if(parse_debug):
         print('modport_item_1', list(p))
 
+
     # { pform_end_modport_item(@1); }
 ()
 
@@ -2000,6 +2111,7 @@ def p_modport_item_1(p):
 def p__embed0_modport_item(p):
     '''_embed0_modport_item : '''
 
+
     # { pform_start_modport_item(@1, p[1]); }
 ()
 
@@ -2027,6 +2139,7 @@ def p_modport_ports_list_3(p):
     if(parse_debug):
         print('modport_ports_list_3', list(p))
 
+
     # { if (last_modport_port.type == MP_SIMPLE) {
     #        pform_add_modport_port(@3, last_modport_port.direction,
     #                               p[3]->name, p[3]->parm);
@@ -2043,6 +2156,7 @@ def p_modport_ports_list_4(p):
     if(parse_debug):
         print('modport_ports_list_4', list(p))
 
+
     # { if (last_modport_port.type != MP_TF)
     #        yyerror(@3, "error: task/function declaration not allowed here.");
     #       }
@@ -2054,6 +2168,7 @@ def p_modport_ports_list_5(p):
     if(parse_debug):
         print('modport_ports_list_5', list(p))
 
+
     # { if (last_modport_port.type == MP_SIMPLE) {
     #        pform_add_modport_port(@3, last_modport_port.direction,
     #                               lex_strings.make(p[3]), 0);
@@ -2070,6 +2185,7 @@ def p_modport_ports_list_6(p):
     if(parse_debug):
         print('modport_ports_list_6', list(p))
 
+
     # { yyerror(@2, "error: NULL port declarations are not allowed"); }
 ()
 
@@ -2079,6 +2195,7 @@ def p_modport_ports_declaration_1(p):
     if(parse_debug):
         print('modport_ports_declaration_1', list(p))
 
+
     # { last_modport_port.type = MP_SIMPLE;
     #  last_modport_port.direction = p[2];
     #  pform_add_modport_port(@3, p[2], lex_strings.make(p[3]), 0);
@@ -2093,6 +2210,7 @@ def p_modport_ports_declaration_2(p):
     if(parse_debug):
         print('modport_ports_declaration_2', list(p))
 
+
     # { last_modport_port.type = MP_SIMPLE;
     #  last_modport_port.direction = p[2];
     #  pform_add_modport_port(@3, p[2], p[3]->name, p[3]->parm);
@@ -2107,6 +2225,7 @@ def p_modport_ports_declaration_3(p):
     if(parse_debug):
         print('modport_ports_declaration_3', list(p))
 
+
     # { last_modport_port.type = MP_TF;
     #  last_modport_port.is_import = p[2];
     #  yyerror(@3, "sorry: modport task/function ports are not yet supported.");
@@ -2121,6 +2240,7 @@ def p_modport_ports_declaration_4(p):
     if(parse_debug):
         print('modport_ports_declaration_4', list(p))
 
+
     # { last_modport_port.type = MP_TF;
     #  last_modport_port.is_import = p[2];
     #  yyerror(@3, "sorry: modport task/function ports are not yet supported.");
@@ -2134,6 +2254,7 @@ def p_modport_ports_declaration_5(p):
     if(parse_debug):
         print('modport_ports_declaration_5', list(p))
 
+
     # { last_modport_port.type = MP_CLOCKING;
     #  last_modport_port.direction = NetNet::NOT_A_PORT;
     #  yyerror(@3, "sorry: modport clocking declaration is not yet supported.");
@@ -2148,6 +2269,7 @@ def p_modport_simple_port_1(p):
     if(parse_debug):
         print('modport_simple_port_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #  tmp->name = lex_strings.make(p[2]);
     #  tmp->parm = p[4];
@@ -2198,6 +2320,7 @@ def p_non_integer_type_1(p):
     if(parse_debug):
         print('non_integer_type_1', list(p))
 
+
     # { p[0] = real_type_t::REAL; }
 ()
 
@@ -2207,6 +2330,7 @@ def p_non_integer_type_2(p):
     if(parse_debug):
         print('non_integer_type_2', list(p))
 
+
     # { p[0] = real_type_t::REAL; }
 ()
 
@@ -2216,6 +2340,7 @@ def p_non_integer_type_3(p):
     if(parse_debug):
         print('non_integer_type_3', list(p))
 
+
     # { p[0] = real_type_t::SHORTREAL; }
 ()
 
@@ -2225,6 +2350,7 @@ def p_number_1(p):
     if(parse_debug):
         print('number_1', list(p))
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2236,6 +2362,7 @@ def p_number_2(p):
     num = Leaf(token.NUMBER, "%s" % (p[1]))
     p[0] = num
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2247,6 +2374,7 @@ def p_number_3(p):
     num = Leaf(token.NUMBER, "%s:%s" % (p[1], p[2]))
     p[0] = num
 
+
     # { p[0] = pform_verinum_with_size(p[1],p[2], @2.text, @2.first_line);
     #         based_size = 0; }
 ()
@@ -2257,6 +2385,7 @@ def p_number_4(p):
     if(parse_debug):
         print('number_4', list(p))
 
+
     # { p[0] = p[1]; based_size = 0;}
 ()
 
@@ -2266,6 +2395,7 @@ def p_number_5(p):
     if(parse_debug):
         print('number_5', list(p))
 
+
     # { yyerror(@1, "error: Unbased SystemVerilog literal cannot have "
     #                     "a size.");
     #         p[0] = p[1]; based_size = 0;}
@@ -2295,6 +2425,7 @@ def p_package_declaration_1(p):
     if(parse_debug):
         print('package_declaration_1', list(p))
 
+
     # { pform_end_package_declaration(@1);
     #  // If an end label is present make sure it match the package name.
     #  if (p[10]) {
@@ -2311,6 +2442,7 @@ def p_package_declaration_1(p):
 def p__embed0_package_declaration(p):
     '''_embed0_package_declaration : '''
 
+
     # { pform_start_package_declaration(@1, p[3], p[2]); }
 ()
 
@@ -2318,6 +2450,7 @@ def p__embed0_package_declaration(p):
 def p__embed1_package_declaration(p):
     '''_embed1_package_declaration : '''
 
+
     # { pform_set_scope_timescale(@1); }
 ()
 
@@ -2363,6 +2496,7 @@ def p_package_import_declaration_1(p):
     if(parse_debug):
         print('package_import_declaration_1', list(p))
 
+
     # { }
 ()
 
@@ -2372,6 +2506,7 @@ def p_package_import_item_1(p):
     if(parse_debug):
         print('package_import_item_1', list(p))
 
+
     # { pform_package_import(@2, p[1], p[3]);
     #  delete[]p[3];
     #       }
@@ -2383,6 +2518,7 @@ def p_package_import_item_2(p):
     if(parse_debug):
         print('package_import_item_2', list(p))
 
+
     # { pform_package_import(@2, p[1], 0);
     #       }
 ()
@@ -2519,6 +2655,7 @@ def p_port_direction_1(p):
     if(parse_debug):
         print('port_direction_1', list(p))
 
+
     # { p[0] = NetNet::PINPUT; }
 ()
 
@@ -2528,6 +2665,7 @@ def p_port_direction_2(p):
     if(parse_debug):
         print('port_direction_2', list(p))
 
+
     # { p[0] = NetNet::POUTPUT; }
 ()
 
@@ -2537,6 +2675,7 @@ def p_port_direction_3(p):
     if(parse_debug):
         print('port_direction_3', list(p))
 
+
     # { p[0] = NetNet::PINOUT; }
 ()
 
@@ -2546,6 +2685,7 @@ def p_port_direction_4(p):
     if(parse_debug):
         print('port_direction_4', list(p))
 
+
     # { p[0] = NetNet::PREF;
     #         if (!gn_system_verilog()) {
     #        yyerror(@1, "error: Reference ports (ref) require SystemVerilog.");
@@ -2570,6 +2710,7 @@ def p_port_direction_opt_2(p):
     if(parse_debug):
         print('port_direction_opt_2', list(p))
 
+
     # { p[0] = NetNet::PIMPLICIT; }
 ()
 
@@ -2588,6 +2729,7 @@ def p_procedural_assertion_statement_1(p):
     if(parse_debug):
         print('procedural_assertion_statement_1', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2599,6 +2741,7 @@ def p_procedural_assertion_statement_2(p):
     if(parse_debug):
         print('procedural_assertion_statement_2', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2610,6 +2753,7 @@ def p_procedural_assertion_statement_3(p):
     if(parse_debug):
         print('procedural_assertion_statement_3', list(p))
 
+
     # { yyerror(@1, "sorry: Simple immediate assertion statements not implemented.");
     #  p[0] = None
     #       }
@@ -2649,6 +2793,7 @@ def p_property_qualifier_opt_2(p):
     if(parse_debug):
         print('property_qualifier_opt_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_none(); }
 ()
 
@@ -2658,6 +2803,7 @@ def p_property_qualifier_list_1(p):
     if(parse_debug):
         print('property_qualifier_list_1', list(p))
 
+
     # { p[0] = p[1] | p[2]; }
 ()
 
@@ -2704,6 +2850,7 @@ def p_random_qualifier_1(p):
     if(parse_debug):
         print('random_qualifier_1', list(p))
 
+
     # { p[0] = property_qualifier_t::make_rand(); }
 ()
 
@@ -2713,6 +2860,7 @@ def p_random_qualifier_2(p):
     if(parse_debug):
         print('random_qualifier_2', list(p))
 
+
     # { p[0] = property_qualifier_t::make_randc(); }
 ()
 
@@ -2760,6 +2908,7 @@ def p_simple_type_or_string_1(p):
     if(parse_debug):
         print('simple_type_or_string_1', list(p))
 
+
     # { ivl_variable_type_t use_vtype = p[1];
     #  bool reg_flag = false;
     #  if (use_vtype == IVL_VT_NO_TYPE) {
@@ -2779,6 +2928,7 @@ def p_simple_type_or_string_2(p):
     if(parse_debug):
         print('simple_type_or_string_2', list(p))
 
+
     # { real_type_t*tmp = new real_type_t(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2791,6 +2941,7 @@ def p_simple_type_or_string_3(p):
     if(parse_debug):
         print('simple_type_or_string_3', list(p))
 
+
     # { atom2_type_t*tmp = new atom2_type_t(p[1], true);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2803,6 +2954,7 @@ def p_simple_type_or_string_4(p):
     if(parse_debug):
         print('simple_type_or_string_4', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(integer_width);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, true, pd);
     #  tmp->reg_flag = true;
@@ -2817,6 +2969,7 @@ def p_simple_type_or_string_5(p):
     if(parse_debug):
         print('simple_type_or_string_5', list(p))
 
+
     # { list<pform_range_t>*pd = make_range_from_width(64);
     #  vector_type_t*tmp = new vector_type_t(IVL_VT_LOGIC, false, pd);
     #  tmp->reg_flag = !gn_system_verilog();
@@ -2830,6 +2983,7 @@ def p_simple_type_or_string_6(p):
     if(parse_debug):
         print('simple_type_or_string_6', list(p))
 
+
     # { p[0] = p[1].type;
     #  delete[]p[1].text;
     #       }
@@ -2841,6 +2995,7 @@ def p_simple_type_or_string_7(p):
     if(parse_debug):
         print('simple_type_or_string_7', list(p))
 
+
     # { lex_in_package_scope(0);
     #  p[0] = p[4].type;
     #  delete[]p[4].text;
@@ -2853,6 +3008,7 @@ def p_simple_type_or_string_8(p):
     if(parse_debug):
         print('simple_type_or_string_8', list(p))
 
+
     # { string_type_t*tmp = new string_type_t;
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -2863,6 +3019,7 @@ def p_simple_type_or_string_8(p):
 def p__embed0_simple_type_or_string(p):
     '''_embed0_simple_type_or_string : '''
 
+
     # { lex_in_package_scope(p[1]); }
 ()
 
@@ -2875,7 +3032,6 @@ def p_statement_1(p):
     # { pform_bind_attributes(p[2]->attributes, p[1]);
     p[0] = p[2]
 
-
     #       }
 ()
 
@@ -2897,6 +3053,7 @@ def p_statement_or_null_2(p):
 
     raise(Exception("p_statement_or_null_2"))
 
+
     # { p[0] = None }
 ()
 
@@ -2951,6 +3108,7 @@ def p_streaming_concatenation_1(p):
     if(parse_debug):
         print('streaming_concatenation_1', list(p))
 
+
     # { /* streaming concatenation is a SystemVerilog thing. */
     #  if (gn_system_verilog()) {
     #        yyerror(@2, "sorry: Streaming concatenation not supported.");
@@ -2968,6 +3126,7 @@ def p_task_declaration_1(p):
     if(parse_debug):
         print('task_declaration_1', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -2993,6 +3152,7 @@ def p_task_declaration_2(p):
     if(parse_debug):
         print('task_declaration_2', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3018,6 +3178,7 @@ def p_task_declaration_3(p):
     if(parse_debug):
         print('task_declaration_3', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3043,6 +3204,7 @@ def p_task_declaration_4(p):
     if(parse_debug):
         print('task_declaration_4', list(p))
 
+
     # { // Last step: check any closing name. This is done late so
     #  // that the parser can look ahead to detect the present
     #  // endlabel_opt but still have the pform_endmodule() called
@@ -3066,6 +3228,7 @@ def p_task_declaration_4(p):
 def p__embed0_task_declaration(p):
     '''_embed0_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3075,6 +3238,7 @@ def p__embed0_task_declaration(p):
 def p__embed1_task_declaration(p):
     '''_embed1_task_declaration : '''
 
+
     # { current_task->set_ports(p[6]);
     #  current_task_set_statement(@3, p[7]);
     #  pform_set_this_class(@3, current_task);
@@ -3091,6 +3255,7 @@ def p__embed1_task_declaration(p):
 def p__embed2_task_declaration(p):
     '''_embed2_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3100,6 +3265,7 @@ def p__embed2_task_declaration(p):
 def p__embed3_task_declaration(p):
     '''_embed3_task_declaration : '''
 
+
     # { current_task->set_ports(p[6]);
     #  current_task_set_statement(@3, p[10]);
     #  pform_set_this_class(@3, current_task);
@@ -3113,6 +3279,7 @@ def p__embed3_task_declaration(p):
 def p__embed4_task_declaration(p):
     '''_embed4_task_declaration : '''
 
+
     # { assert(current_task == 0);
     #  current_task = pform_push_task_scope(@1, p[3], p[2]);
     #       }
@@ -3122,6 +3289,7 @@ def p__embed4_task_declaration(p):
 def p__embed5_task_declaration(p):
     '''_embed5_task_declaration : '''
 
+
     # { current_task->set_ports(0);
     #  current_task_set_statement(@3, p[9]);
     #  pform_set_this_class(@3, current_task);
@@ -3142,6 +3310,7 @@ def p__embed5_task_declaration(p):
 def p__embed6_task_declaration(p):
     '''_embed6_task_declaration : '''
 
+
     # {
     #  if (current_task) {
     #        pform_pop_scope();
@@ -3156,6 +3325,7 @@ def p_tf_port_declaration_1(p):
     if(parse_debug):
         print('tf_port_declaration_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1],
     #                                          p[2] ? IVL_VT_LOGIC :
     #                                               IVL_VT_NO_TYPE,
@@ -3170,6 +3340,7 @@ def p_tf_port_declaration_2(p):
     if(parse_debug):
         print('tf_port_declaration_2', list(p))
 
+
     # { list<pform_range_t>*range_stub = make_range_from_width(integer_width);
     #  vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, true,
     #                                              range_stub, p[3], true);
@@ -3183,6 +3354,7 @@ def p_tf_port_declaration_3(p):
     if(parse_debug):
         print('tf_port_declaration_3', list(p))
 
+
     # { list<pform_range_t>*range_stub = make_range_from_width(64);
     #  vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_LOGIC, false,
     #                                             range_stub, p[3]);
@@ -3196,6 +3368,7 @@ def p_tf_port_declaration_4(p):
     if(parse_debug):
         print('tf_port_declaration_4', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_REAL, true,
     #                                             0, p[3]);
     #  p[0] = tmp;
@@ -3208,6 +3381,7 @@ def p_tf_port_declaration_5(p):
     if(parse_debug):
         print('tf_port_declaration_5', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = pform_make_task_ports(@1, p[1], IVL_VT_STRING, true,
     #                                             0, p[3]);
     #  p[0] = tmp;
@@ -3220,6 +3394,7 @@ def p_tf_port_item_1(p):
     if(parse_debug):
         print('tf_port_item_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp;
     #  NetNet::PortType use_port_type = p[1];
     #         if ((use_port_type == NetNet::PIMPLICIT) && (gn_system_verilog() || (p[2] == 0)))
@@ -3272,6 +3447,7 @@ def p_tf_port_item_2(p):
     if(parse_debug):
         print('tf_port_item_2', list(p))
 
+
     # { yyerror(@3, "error: Error in task/function port item after port name %s.", p[3]);
     #  yyerrok;
     #  p[0] = None
@@ -3284,6 +3460,7 @@ def p_tf_port_item_expr_opt_1(p):
     if(parse_debug):
         print('tf_port_item_expr_opt_1', list(p))
 
+
     # { if (! gn_system_verilog()) {
     #        yyerror(@1, "error: Task/function default arguments require "
     #                    "SystemVerilog.");
@@ -3298,6 +3475,7 @@ def p_tf_port_item_expr_opt_2(p):
     if(parse_debug):
         print('tf_port_item_expr_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3315,6 +3493,7 @@ def p_tf_port_list_1(p):
 def p__embed0_tf_port_list(p):
     '''_embed0_tf_port_list : '''
 
+
     # { port_declaration_context.port_type = gn_system_verilog() ? NetNet::PINPUT : NetNet::PIMPLICIT;
     #  port_declaration_context.data_type = 0;
     #       }
@@ -3326,6 +3505,7 @@ def p_tf_port_item_list_1(p):
     if(parse_debug):
         print('tf_port_item_list_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp;
     #  if (p[1] && p[3]) {
     #        size_t s1 = p[1]->size();
@@ -3359,6 +3539,7 @@ def p_tf_port_item_list_3(p):
     if(parse_debug):
         print('tf_port_item_list_3', list(p))
 
+
     # { yyerror(@2, "error: Syntax error in task/function port declaration.");
     #  p[0] = p[3];
     #       }
@@ -3370,6 +3551,7 @@ def p_tf_port_item_list_4(p):
     if(parse_debug):
         print('tf_port_item_list_4', list(p))
 
+
     # { yyerror(@2, "error: NULL port declarations are not allowed.");
     #  p[0] = p[1];
     #       }
@@ -3381,6 +3563,7 @@ def p_tf_port_item_list_5(p):
     if(parse_debug):
         print('tf_port_item_list_5', list(p))
 
+
     # { yyerror(@2, "error: ';' is an invalid port declaration separator.");
     #  p[0] = p[1];
     #       }
@@ -3392,6 +3575,7 @@ def p_timeunits_declaration_1(p):
     if(parse_debug):
         print('timeunits_declaration_1', list(p))
 
+
     # { pform_set_timeunit(p[2], allow_timeunit_decl); }
 ()
 
@@ -3401,6 +3585,7 @@ def p_timeunits_declaration_2(p):
     if(parse_debug):
         print('timeunits_declaration_2', list(p))
 
+
     # { bool initial_decl = allow_timeunit_decl && allow_timeprec_decl;
     #         pform_set_timeunit(p[2], initial_decl);
     #         pform_set_timeprec(p[4], initial_decl);
@@ -3413,6 +3598,7 @@ def p_timeunits_declaration_3(p):
     if(parse_debug):
         print('timeunits_declaration_3', list(p))
 
+
     # { pform_set_timeprec(p[2], allow_timeprec_decl); }
 ()
 
@@ -3449,6 +3635,7 @@ def p_value_range_1(p):
     if(parse_debug):
         print('value_range_1', list(p))
 
+
     # { }
 ()
 
@@ -3458,6 +3645,7 @@ def p_value_range_2(p):
     if(parse_debug):
         print('value_range_2', list(p))
 
+
     # { }
 ()
 
@@ -3491,6 +3679,7 @@ def p_variable_dimension_2(p):
     if(parse_debug):
         print('variable_dimension_2', list(p))
 
+
     # { // SystemVerilog canonical range
     #  if (!gn_system_verilog()) {
     #        warn_count += 1;
@@ -3512,6 +3701,7 @@ def p_variable_dimension_3(p):
     if(parse_debug):
         print('variable_dimension_3', list(p))
 
+
     # { list<pform_range_t> *tmp = new list<pform_range_t>;
     #  pform_range_t index (0,0);
     #  tmp->push_back(index);
@@ -3525,6 +3715,7 @@ def p_variable_dimension_4(p):
     if(parse_debug):
         print('variable_dimension_4', list(p))
 
+
     # { // SystemVerilog queue
     #  list<pform_range_t> *tmp = new list<pform_range_t>;
     #  pform_range_t index (new PENull,0);
@@ -3542,6 +3733,7 @@ def p_variable_lifetime_1(p):
     if(parse_debug):
         print('variable_lifetime_1', list(p))
 
+
     # { if (!gn_system_verilog()) {
     #        yyerror(@1, "error: overriding the default variable lifetime "
     #                    "requires SystemVerilog.");
@@ -3569,6 +3761,7 @@ def p_attribute_list_opt_2(p):
     if(parse_debug > 2):
         print('attribute_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3578,6 +3771,7 @@ def p_attribute_instance_list_1(p):
     if(parse_debug):
         print('attribute_instance_list_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -3607,6 +3801,7 @@ def p_attribute_instance_list_4(p):
     if(parse_debug):
         print('attribute_instance_list_4', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #  if (tmp) {
     #      tmp->splice(tmp->end(), *p[3]);
@@ -3622,6 +3817,7 @@ def p_attribute_list_1(p):
     if(parse_debug):
         print('attribute_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #         tmp->push_back(*p[3]);
     #  delete p[3];
@@ -3635,6 +3831,7 @@ def p_attribute_list_2(p):
     if(parse_debug):
         print('attribute_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #         tmp->push_back(*p[1]);
     #  delete p[1];
@@ -3648,6 +3845,7 @@ def p_attribute_1(p):
     if(parse_debug):
         print('attribute_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[1]);
     #            tmp->parm = 0;
@@ -3662,6 +3860,7 @@ def p_attribute_2(p):
     if(parse_debug):
         print('attribute_2', list(p))
 
+
     # { PExpr*tmp = p[3];
     #            named_pexpr_t*tmp2 = new named_pexpr_t;
     #            tmp2->name = lex_strings.make(p[1]);
@@ -3677,6 +3876,7 @@ def p_block_item_decl_1(p):
     if(parse_debug):
         print('block_item_decl_1', list(p))
 
+
     # { if (p[1]) pform_set_data_type(@1, p[1], p[2], NetNet::REG, attributes_in_context);
     #       }
 ()
@@ -3687,6 +3887,7 @@ def p_block_item_decl_2(p):
     if(parse_debug):
         print('block_item_decl_2', list(p))
 
+
     # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context);
     #  var_lifetime = LexicalScope::INHERITED;
     #       }
@@ -3698,6 +3899,7 @@ def p_block_item_decl_3(p):
     if(parse_debug):
         print('block_item_decl_3', list(p))
 
+
     # { if (p[2]) pform_set_data_type(@2, p[2], p[3], NetNet::REG, attributes_in_context);
     #       }
 ()
@@ -3708,6 +3910,7 @@ def p_block_item_decl_4(p):
     if(parse_debug):
         print('block_item_decl_4', list(p))
 
+
     # { if (p[3]) pform_set_data_type(@3, p[3], p[4], NetNet::REG, attributes_in_context);
     #  var_lifetime = LexicalScope::INHERITED;
     #       }
@@ -3719,6 +3922,7 @@ def p_block_item_decl_5(p):
     if(parse_debug):
         print('block_item_decl_5', list(p))
 
+
     # { if (p[2]) pform_make_events(p[2], @1.text, @1.first_line);
     #       }
 ()
@@ -3756,6 +3960,7 @@ def p_block_item_decl_9(p):
     if(parse_debug):
         print('block_item_decl_9', list(p))
 
+
     # { yyerror(@1, "error: syntax error in integer variable list.");
     #  yyerrok;
     #       }
@@ -3767,6 +3972,7 @@ def p_block_item_decl_10(p):
     if(parse_debug):
         print('block_item_decl_10', list(p))
 
+
     # { yyerror(@1, "error: syntax error in time variable list.");
     #  yyerrok;
     #       }
@@ -3778,6 +3984,7 @@ def p_block_item_decl_11(p):
     if(parse_debug):
         print('block_item_decl_11', list(p))
 
+
     # { yyerror(@1, "error: syntax error in parameter list.");
     #  yyerrok;
     #       }
@@ -3789,6 +3996,7 @@ def p_block_item_decl_12(p):
     if(parse_debug):
         print('block_item_decl_12', list(p))
 
+
     # { yyerror(@1, "error: syntax error localparam list.");
     #  yyerrok;
     #       }
@@ -3838,6 +4046,7 @@ def p_type_declaration_1(p):
     if(parse_debug):
         print('type_declaration_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[3]);
     #  pform_set_typedef(name, p[2], p[4]);
     #  delete[]p[3];
@@ -3850,6 +4059,7 @@ def p_type_declaration_2(p):
     if(parse_debug):
         print('type_declaration_2', list(p))
 
+
     # { perm_string name = lex_strings.make(p[3].text);
     #  if (pform_test_type_identifier_local(name)) {
     #        yyerror(@3, "error: Typedef identifier \"%s\" is already a type name.", p[3].text);
@@ -3867,6 +4077,7 @@ def p_type_declaration_3(p):
     if(parse_debug):
         print('type_declaration_3', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[3]);
@@ -3883,6 +4094,7 @@ def p_type_declaration_4(p):
     if(parse_debug):
         print('type_declaration_4', list(p))
 
+
     # { yyerror(@1, "sorry: Enum forward declarations not supported yet."); }
 ()
 
@@ -3892,6 +4104,7 @@ def p_type_declaration_5(p):
     if(parse_debug):
         print('type_declaration_5', list(p))
 
+
     # { yyerror(@1, "sorry: Struct forward declarations not supported yet."); }
 ()
 
@@ -3901,6 +4114,7 @@ def p_type_declaration_6(p):
     if(parse_debug):
         print('type_declaration_6', list(p))
 
+
     # { yyerror(@1, "sorry: Union forward declarations not supported yet."); }
 ()
 
@@ -3910,6 +4124,7 @@ def p_type_declaration_7(p):
     if(parse_debug):
         print('type_declaration_7', list(p))
 
+
     # { // Create a synthetic typedef for the class name so that the
     #  // lexor detects the name as a type.
     #  perm_string name = lex_strings.make(p[2]);
@@ -3926,6 +4141,7 @@ def p_type_declaration_8(p):
     if(parse_debug):
         print('type_declaration_8', list(p))
 
+
     # { yyerror(@2, "error: Syntax error in typedef clause.");
     #  yyerrok;
     #       }
@@ -3937,6 +4153,7 @@ def p_enum_data_type_1(p):
     if(parse_debug):
         print('enum_data_type_1', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[3]);
@@ -3954,6 +4171,7 @@ def p_enum_data_type_2(p):
     if(parse_debug):
         print('enum_data_type_2', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[5]);
@@ -3971,6 +4189,7 @@ def p_enum_data_type_3(p):
     if(parse_debug):
         print('enum_data_type_3', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[5]);
@@ -3988,6 +4207,7 @@ def p_enum_data_type_4(p):
     if(parse_debug):
         print('enum_data_type_4', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -4005,6 +4225,7 @@ def p_enum_data_type_5(p):
     if(parse_debug):
         print('enum_data_type_5', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -4022,6 +4243,7 @@ def p_enum_data_type_6(p):
     if(parse_debug):
         print('enum_data_type_6', list(p))
 
+
     # { enum_type_t*enum_type = new enum_type_t;
     #  FILE_NAME(enum_type, @1);
     #  enum_type->names .reset(p[6]);
@@ -4039,6 +4261,7 @@ def p_enum_name_list_1(p):
     if(parse_debug):
         print('enum_name_list_1', list(p))
 
+
     # { p[0] = p[1];
     #       }
 ()
@@ -4049,6 +4272,7 @@ def p_enum_name_list_2(p):
     if(parse_debug):
         print('enum_name_list_2', list(p))
 
+
     # { list<named_pexpr_t>*lst = p[1];
     #  lst->splice(lst->end(), *p[3]);
     #  delete p[3];
@@ -4062,6 +4286,7 @@ def p_pos_neg_number_1(p):
     if(parse_debug):
         print('pos_neg_number_1', list(p))
 
+
     # { p[0] = p[1];
     #       }
 ()
@@ -4072,6 +4297,7 @@ def p_pos_neg_number_2(p):
     if(parse_debug):
         print('pos_neg_number_2', list(p))
 
+
     # { verinum tmp = -(*(p[2]));
     #  *(p[2]) = tmp;
     #  p[0] = p[2];
@@ -4084,6 +4310,7 @@ def p_enum_name_1(p):
     if(parse_debug):
         print('enum_name_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  delete[]p[1];
     #  p[0] = make_named_number(name);
@@ -4096,6 +4323,7 @@ def p_enum_name_2(p):
     if(parse_debug):
         print('enum_name_2', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  long count = check_enum_seq_value(@1, p[3], false);
     #  delete[]p[1];
@@ -4110,6 +4338,7 @@ def p_enum_name_3(p):
     if(parse_debug):
         print('enum_name_3', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true),
     #                                check_enum_seq_value(@1, p[5], true));
@@ -4125,6 +4354,7 @@ def p_enum_name_4(p):
     if(parse_debug):
         print('enum_name_4', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  delete[]p[1];
     #  p[0] = make_named_number(name, p[3]);
@@ -4137,6 +4367,7 @@ def p_enum_name_5(p):
     if(parse_debug):
         print('enum_name_5', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  long count = check_enum_seq_value(@1, p[3], false);
     #  p[0] = make_named_numbers(name, 0, count-1, p[6]);
@@ -4151,6 +4382,7 @@ def p_enum_name_6(p):
     if(parse_debug):
         print('enum_name_6', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  p[0] = make_named_numbers(name, check_enum_seq_value(@1, p[3], true),
     #                                check_enum_seq_value(@1, p[5], true), p[8]);
@@ -4166,6 +4398,7 @@ def p_struct_data_type_1(p):
     if(parse_debug):
         print('struct_data_type_1', list(p))
 
+
     # { struct_type_t*tmp = new struct_type_t;
     #  FILE_NAME(tmp, @1);
     #  tmp->packed_flag = p[2];
@@ -4181,6 +4414,7 @@ def p_struct_data_type_2(p):
     if(parse_debug):
         print('struct_data_type_2', list(p))
 
+
     # { struct_type_t*tmp = new struct_type_t;
     #  FILE_NAME(tmp, @1);
     #  tmp->packed_flag = p[2];
@@ -4196,6 +4430,7 @@ def p_struct_data_type_3(p):
     if(parse_debug):
         print('struct_data_type_3', list(p))
 
+
     # { yyerror(@3, "error: Errors in struct member list.");
     #  yyerrok;
     #  struct_type_t*tmp = new struct_type_t;
@@ -4212,6 +4447,7 @@ def p_struct_data_type_4(p):
     if(parse_debug):
         print('struct_data_type_4', list(p))
 
+
     # { yyerror(@3, "error: Errors in union member list.");
     #  yyerrok;
     #  struct_type_t*tmp = new struct_type_t;
@@ -4228,6 +4464,7 @@ def p_struct_union_member_list_1(p):
     if(parse_debug):
         print('struct_union_member_list_1', list(p))
 
+
     # { list<struct_member_t*>*tmp = p[1];
     #  tmp->push_back(p[2]);
     #  p[0] = tmp;
@@ -4240,6 +4477,7 @@ def p_struct_union_member_list_2(p):
     if(parse_debug):
         print('struct_union_member_list_2', list(p))
 
+
     # { list<struct_member_t*>*tmp = new list<struct_member_t*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -4252,6 +4490,7 @@ def p_struct_union_member_1(p):
     if(parse_debug):
         print('struct_union_member_1', list(p))
 
+
     # { struct_member_t*tmp = new struct_member_t;
     #  FILE_NAME(tmp, @2);
     #  tmp->type  .reset(p[2]);
@@ -4266,6 +4505,7 @@ def p_struct_union_member_2(p):
     if(parse_debug):
         print('struct_union_member_2', list(p))
 
+
     # { yyerror(@2, "Error in struct/union member.");
     #  yyerrok;
     #  p[0] = None
@@ -4278,6 +4518,7 @@ def p_case_item_1(p):
     if(parse_debug):
         print('case_item_1', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->expr = *p[1];
     #            tmp->stat = p[3];
@@ -4292,6 +4533,7 @@ def p_case_item_2(p):
     if(parse_debug):
         print('case_item_2', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->stat = p[3];
     #            p[0] = tmp;
@@ -4304,6 +4546,7 @@ def p_case_item_3(p):
     if(parse_debug):
         print('case_item_3', list(p))
 
+
     # { PCase::Item*tmp = new PCase::Item;
     #            tmp->stat = p[2];
     #            p[0] = tmp;
@@ -4316,6 +4559,7 @@ def p_case_item_4(p):
     if(parse_debug):
         print('case_item_4', list(p))
 
+
     # { yyerror(@2, "error: Incomprehensible case expression.");
     #            yyerrok;
     #          }
@@ -4327,6 +4571,7 @@ def p_case_items_1(p):
     if(parse_debug):
         print('case_items_1', list(p))
 
+
     # { svector<PCase::Item*>*tmp;
     #            tmp = new svector<PCase::Item*>(*p[1], p[2]);
     #            delete p[1];
@@ -4340,6 +4585,7 @@ def p_case_items_2(p):
     if(parse_debug):
         print('case_items_2', list(p))
 
+
     # { svector<PCase::Item*>*tmp = new svector<PCase::Item*>(1);
     #            (*tmp)[0] = p[1];
     #            p[0] = tmp;
@@ -4397,6 +4643,7 @@ def p_defparam_assign_1(p):
     if(parse_debug):
         print('defparam_assign_1', list(p))
 
+
     # { pform_set_defparam(*p[1], p[3]);
     #            delete p[1];
     #          }
@@ -4417,6 +4664,7 @@ def p_defparam_assign_list_2(p):
     if(parse_debug):
         print('defparam_assign_list_2', list(p))
 
+
     # { yyerror(@1, "error: defparam may not include a range.");
     #  delete p[1];
     #       }
@@ -4437,6 +4685,7 @@ def p_delay1_1(p):
     if(parse_debug):
         print('delay1_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[2]);
     #            p[0] = tmp;
@@ -4449,6 +4698,7 @@ def p_delay1_2(p):
     if(parse_debug):
         print('delay1_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -4461,6 +4711,7 @@ def p_delay3_1(p):
     if(parse_debug):
         print('delay3_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[2]);
     #            p[0] = tmp;
@@ -4473,6 +4724,7 @@ def p_delay3_2(p):
     if(parse_debug):
         print('delay3_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -4485,6 +4737,7 @@ def p_delay3_3(p):
     if(parse_debug):
         print('delay3_3', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            tmp->push_back(p[5]);
@@ -4498,6 +4751,7 @@ def p_delay3_4(p):
     if(parse_debug):
         print('delay3_4', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            tmp->push_back(p[5]);
@@ -4522,6 +4776,7 @@ def p_delay3_opt_2(p):
     if(parse_debug > 2):
         print('delay3_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -4531,6 +4786,7 @@ def p_delay_value_list_1(p):
     if(parse_debug):
         print('delay_value_list_1', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -4543,6 +4799,7 @@ def p_delay_value_list_2(p):
     if(parse_debug):
         print('delay_value_list_2', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -4555,6 +4812,7 @@ def p_delay_value_1(p):
     if(parse_debug):
         print('delay_value_1', list(p))
 
+
     # { PExpr*tmp = p[1];
     #            p[0] = tmp;
     #          }
@@ -4566,6 +4824,7 @@ def p_delay_value_2(p):
     if(parse_debug):
         print('delay_value_2', list(p))
 
+
     # { p[0] = pform_select_mtm_expr(p[1], p[3], p[5]); }
 ()
 
@@ -4575,6 +4834,7 @@ def p_delay_value_simple_1(p):
     if(parse_debug):
         print('delay_value_simple_1', list(p))
 
+
     # { verinum*tmp = p[1];
     #            if (tmp == 0) {
     #                  yyerror(@1, "internal error: delay.");
@@ -4593,6 +4853,7 @@ def p_delay_value_simple_2(p):
     if(parse_debug):
         print('delay_value_simple_2', list(p))
 
+
     # { verireal*tmp = p[1];
     #            if (tmp == 0) {
     #                  yyerror(@1, "internal error: delay.");
@@ -4610,6 +4871,7 @@ def p_delay_value_simple_3(p):
     if(parse_debug):
         print('delay_value_simple_3', list(p))
 
+
     # { PEIdent*tmp = new PEIdent(lex_strings.make(p[1]));
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -4623,6 +4885,7 @@ def p_delay_value_simple_4(p):
     if(parse_debug):
         print('delay_value_simple_4', list(p))
 
+
     # { int unit;
     #
     #            based_size = 0;
@@ -4665,6 +4928,7 @@ def p_discipline_declaration_1(p):
     if(parse_debug):
         print('discipline_declaration_1', list(p))
 
+
     # { pform_end_discipline(@1); delete[] p[2]; }
 ()
 
@@ -4672,6 +4936,7 @@ def p_discipline_declaration_1(p):
 def p__embed0_discipline_declaration(p):
     '''_embed0_discipline_declaration : '''
 
+
     # { pform_start_discipline(p[2]); }
 ()
 
@@ -4699,6 +4964,7 @@ def p_discipline_item_1(p):
     if(parse_debug):
         print('discipline_item_1', list(p))
 
+
     # { pform_discipline_domain(@1, IVL_DIS_DISCRETE); }
 ()
 
@@ -4708,6 +4974,7 @@ def p_discipline_item_2(p):
     if(parse_debug):
         print('discipline_item_2', list(p))
 
+
     # { pform_discipline_domain(@1, IVL_DIS_CONTINUOUS); }
 ()
 
@@ -4717,6 +4984,7 @@ def p_discipline_item_3(p):
     if(parse_debug):
         print('discipline_item_3', list(p))
 
+
     # { pform_discipline_potential(@1, p[2]); delete[] p[2]; }
 ()
 
@@ -4726,6 +4994,7 @@ def p_discipline_item_4(p):
     if(parse_debug):
         print('discipline_item_4', list(p))
 
+
     # { pform_discipline_flow(@1, p[2]); delete[] p[2]; }
 ()
 
@@ -4735,6 +5004,7 @@ def p_nature_declaration_1(p):
     if(parse_debug):
         print('nature_declaration_1', list(p))
 
+
     # { pform_end_nature(@1); delete[] p[2]; }
 ()
 
@@ -4742,6 +5012,7 @@ def p_nature_declaration_1(p):
 def p__embed0_nature_declaration(p):
     '''_embed0_nature_declaration : '''
 
+
     # { pform_start_nature(p[2]); }
 ()
 
@@ -4769,6 +5040,7 @@ def p_nature_item_1(p):
     if(parse_debug):
         print('nature_item_1', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4787,6 +5059,7 @@ def p_nature_item_3(p):
     if(parse_debug):
         print('nature_item_3', list(p))
 
+
     # { pform_nature_access(@1, p[3]); delete[] p[3]; }
 ()
 
@@ -4796,6 +5069,7 @@ def p_nature_item_4(p):
     if(parse_debug):
         print('nature_item_4', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4805,6 +5079,7 @@ def p_nature_item_5(p):
     if(parse_debug):
         print('nature_item_5', list(p))
 
+
     # { delete[] p[3]; }
 ()
 
@@ -4814,6 +5089,7 @@ def p_config_declaration_1(p):
     if(parse_debug):
         print('config_declaration_1', list(p))
 
+
     # { cerr << @1 << ": sorry: config declarations are not supported and "
     #                 "will be skipped." << endl;
     #  delete[] p[2];
@@ -4871,6 +5147,7 @@ def p_config_rule_statement_2(p):
     if(parse_debug):
         print('config_rule_statement_2', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -4880,6 +5157,7 @@ def p_config_rule_statement_3(p):
     if(parse_debug):
         print('config_rule_statement_3', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -4925,6 +5203,7 @@ def p_lib_cell_id_1(p):
     if(parse_debug):
         print('lib_cell_id_1', list(p))
 
+
     # { delete[] p[1]; }
 ()
 
@@ -4934,6 +5213,7 @@ def p_lib_cell_id_2(p):
     if(parse_debug):
         print('lib_cell_id_2', list(p))
 
+
     # { delete[] p[1]; delete[] p[3]; }
 ()
 
@@ -4952,6 +5232,7 @@ def p_list_of_libraries_2(p):
     if(parse_debug):
         print('list_of_libraries_2', list(p))
 
+
     # { delete[] p[2]; }
 ()
 
@@ -4961,6 +5242,7 @@ def p_drive_strength_1(p):
     if(parse_debug):
         print('drive_strength_1', list(p))
 
+
     # { p[0].str0 = p[2].str0;
     #            p[0].str1 = p[4].str1;
     #          }
@@ -4972,6 +5254,7 @@ def p_drive_strength_2(p):
     if(parse_debug):
         print('drive_strength_2', list(p))
 
+
     # { p[0].str0 = p[4].str0;
     #            p[0].str1 = p[2].str1;
     #          }
@@ -4983,6 +5266,7 @@ def p_drive_strength_3(p):
     if(parse_debug):
         print('drive_strength_3', list(p))
 
+
     # { p[0].str0 = p[2].str0;
     #            p[0].str1 = IVL_DR_HiZ;
     #          }
@@ -4994,6 +5278,7 @@ def p_drive_strength_4(p):
     if(parse_debug):
         print('drive_strength_4', list(p))
 
+
     # { p[0].str0 = IVL_DR_HiZ;
     #            p[0].str1 = p[2].str1;
     #          }
@@ -5005,6 +5290,7 @@ def p_drive_strength_5(p):
     if(parse_debug):
         print('drive_strength_5', list(p))
 
+
     # { p[0].str0 = p[4].str0;
     #            p[0].str1 = IVL_DR_HiZ;
     #          }
@@ -5016,6 +5302,7 @@ def p_drive_strength_6(p):
     if(parse_debug):
         print('drive_strength_6', list(p))
 
+
     # { p[0].str0 = IVL_DR_HiZ;
     #            p[0].str1 = p[4].str1;
     #          }
@@ -5037,6 +5324,7 @@ def p_drive_strength_opt_2(p):
     if(parse_debug > 2):
         print('drive_strength_opt_2', list(p))
 
+
     # { p[0].str0 = IVL_DR_STRONG; p[0].str1 = IVL_DR_STRONG; }
 ()
 
@@ -5046,6 +5334,7 @@ def p_dr_strength0_1(p):
     if(parse_debug):
         print('dr_strength0_1', list(p))
 
+
     # { p[0].str0 = IVL_DR_SUPPLY; }
 ()
 
@@ -5055,6 +5344,7 @@ def p_dr_strength0_2(p):
     if(parse_debug):
         print('dr_strength0_2', list(p))
 
+
     # { p[0].str0 = IVL_DR_STRONG; }
 ()
 
@@ -5064,6 +5354,7 @@ def p_dr_strength0_3(p):
     if(parse_debug):
         print('dr_strength0_3', list(p))
 
+
     # { p[0].str0 = IVL_DR_PULL; }
 ()
 
@@ -5073,6 +5364,7 @@ def p_dr_strength0_4(p):
     if(parse_debug):
         print('dr_strength0_4', list(p))
 
+
     # { p[0].str0 = IVL_DR_WEAK; }
 ()
 
@@ -5082,6 +5374,7 @@ def p_dr_strength1_1(p):
     if(parse_debug):
         print('dr_strength1_1', list(p))
 
+
     # { p[0].str1 = IVL_DR_SUPPLY; }
 ()
 
@@ -5091,6 +5384,7 @@ def p_dr_strength1_2(p):
     if(parse_debug):
         print('dr_strength1_2', list(p))
 
+
     # { p[0].str1 = IVL_DR_STRONG; }
 ()
 
@@ -5100,6 +5394,7 @@ def p_dr_strength1_3(p):
     if(parse_debug):
         print('dr_strength1_3', list(p))
 
+
     # { p[0].str1 = IVL_DR_PULL; }
 ()
 
@@ -5109,6 +5404,7 @@ def p_dr_strength1_4(p):
     if(parse_debug):
         print('dr_strength1_4', list(p))
 
+
     # { p[0].str1 = IVL_DR_WEAK; }
 ()
 
@@ -5136,6 +5432,7 @@ def p_event_control_1(p):
     if(parse_debug):
         print('event_control_1', list(p))
 
+
     # { PEIdent*tmpi = new PEIdent(*p[2]);
     #            PEEvent*tmpe = new PEEvent(PEEvent::ANYEDGE, tmpi);
     #            PEventStatement*tmps = new PEventStatement(tmpe);
@@ -5151,6 +5448,7 @@ def p_event_control_2(p):
     if(parse_debug):
         print('event_control_2', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement(*p[3]);
     #            FILE_NAME(tmp, @1);
     #            delete p[3];
@@ -5164,6 +5462,7 @@ def p_event_control_3(p):
     if(parse_debug):
         print('event_control_3', list(p))
 
+
     # { yyerror(@1, "error: Malformed event control expression.");
     #            p[0] = None
     #          }
@@ -5185,6 +5484,7 @@ def p_event_expression_list_2(p):
     if(parse_debug):
         print('event_expression_list_2', list(p))
 
+
     # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*p[1], *p[3]);
     #            delete p[1];
     #            delete p[3];
@@ -5198,6 +5498,7 @@ def p_event_expression_list_3(p):
     if(parse_debug):
         print('event_expression_list_3', list(p))
 
+
     # { svector<PEEvent*>*tmp = new svector<PEEvent*>(*p[1], *p[3]);
     #            delete p[1];
     #            delete p[3];
@@ -5211,6 +5512,7 @@ def p_event_expression_1(p):
     if(parse_debug):
         print('event_expression_1', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::POSEDGE, p[2]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5225,6 +5527,7 @@ def p_event_expression_2(p):
     if(parse_debug):
         print('event_expression_2', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::NEGEDGE, p[2]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5239,6 +5542,7 @@ def p_event_expression_3(p):
     if(parse_debug):
         print('event_expression_3', list(p))
 
+
     # { PEEvent*tmp = new PEEvent(PEEvent::ANYEDGE, p[1]);
     #            FILE_NAME(tmp, @1);
     #            svector<PEEvent*>*tl = new svector<PEEvent*>(1);
@@ -5253,6 +5557,7 @@ def p_branch_probe_expression_1(p):
     if(parse_debug):
         print('branch_probe_expression_1', list(p))
 
+
     # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3], p[5]); }
 ()
 
@@ -5262,6 +5567,7 @@ def p_branch_probe_expression_2(p):
     if(parse_debug):
         print('branch_probe_expression_2', list(p))
 
+
     # { p[0] = pform_make_branch_probe_expression(@1, p[1], p[3]); }
 ()
 
@@ -5330,6 +5636,7 @@ def p_expression_5(p):
 
     p[0] = PEUnary(Leaf(token.MINUS, '-'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('-', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5344,6 +5651,7 @@ def p_expression_6(p):
 
     p[0] = PEUnary(Leaf(token.TILDE, '~'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('~', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5358,6 +5666,7 @@ def p_expression_7(p):
 
     p[0] = PEUnary(Leaf(token.AMPER, '&'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('&', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5372,6 +5681,7 @@ def p_expression_8(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '!'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('!', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5386,6 +5696,7 @@ def p_expression_9(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '|'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('|', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5400,6 +5711,7 @@ def p_expression_10(p):
 
     p[0] = PEUnary(Leaf(token.STRING, '^'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('^', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5412,6 +5724,7 @@ def p_expression_11(p):
     if(parse_debug):
         print('expression_11', list(p))
 
+
     # { yyerror(@1, "error: '~' '&'  is not a valid expression. "
     #          "Please use operator '~&' instead.");
     #  p[0] = None
@@ -5424,6 +5737,7 @@ def p_expression_12(p):
     if(parse_debug):
         print('expression_12', list(p))
 
+
     # { yyerror(@1, "error: '~' '|'  is not a valid expression. "
     #          "Please use operator '~|' instead.");
     #  p[0] = None
@@ -5436,6 +5750,7 @@ def p_expression_13(p):
     if(parse_debug):
         print('expression_13', list(p))
 
+
     # { yyerror(@1, "error: '~' '^'  is not a valid expression. "
     #          "Please use operator '~^' instead.");
     #  p[0] = None
@@ -5450,6 +5765,7 @@ def p_expression_14(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NAND'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('A', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5464,6 +5780,7 @@ def p_expression_15(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NOR'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('N', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5478,6 +5795,7 @@ def p_expression_16(p):
 
     p[0] = PEUnary(Leaf(token.STRING, 'K_NXOR'), p[3])
 
+
     # { PEUnary*tmp = new PEUnary('X', p[3]);
     #  FILE_NAME(tmp, @3);
     #  p[0] = tmp;
@@ -5490,6 +5808,7 @@ def p_expression_17(p):
     if(parse_debug):
         print('expression_17', list(p))
 
+
     # { yyerror(@1, "error: Operand of unary ! "
     #          "is not a primary expression.");
     #  p[0] = None
@@ -5502,6 +5821,7 @@ def p_expression_18(p):
     if(parse_debug):
         print('expression_18', list(p))
 
+
     # { yyerror(@1, "error: Operand of reduction ^ "
     #          "is not a primary expression.");
     #  p[0] = None
@@ -5516,6 +5836,7 @@ def p_expression_19(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '^'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('^', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5530,6 +5851,7 @@ def p_expression_20(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '**'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBPower('p', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5544,6 +5866,7 @@ def p_expression_21(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '*'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('*', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5558,6 +5881,7 @@ def p_expression_22(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '/'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('/', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5572,6 +5896,7 @@ def p_expression_23(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '%'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('%', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5615,6 +5940,7 @@ def p_expression_26(p):
 
     p[0] = PEBinary(Leaf(token.AMPER, '&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('&', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5629,7 +5955,6 @@ def p_expression_27(p):
 
     p[0] = PEBinary(Leaf(token.VBAR, '|'), p[1], p[4])
 
-
     # { PEBinary*tmp = new PEBinary('|', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5644,6 +5969,7 @@ def p_expression_28(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '~&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('A', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5658,6 +5984,7 @@ def p_expression_29(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '~|'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('O', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5672,6 +5999,7 @@ def p_expression_30(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_XNOR'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBinary('X', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5686,6 +6014,7 @@ def p_expression_31(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '<'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('<', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5700,6 +6029,7 @@ def p_expression_32(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '>'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('>', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5714,6 +6044,7 @@ def p_expression_33(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_LS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('l', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5728,6 +6059,7 @@ def p_expression_34(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_RS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('r', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5742,6 +6074,7 @@ def p_expression_35(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_RSS'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBShift('R', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5756,6 +6089,7 @@ def p_expression_36(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '=='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('e', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5770,6 +6104,7 @@ def p_expression_37(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_CEQ'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('E', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5784,6 +6119,7 @@ def p_expression_38(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_WEQ'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('w', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5798,6 +6134,7 @@ def p_expression_39(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '<='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('L', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5812,6 +6149,7 @@ def p_expression_40(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '>='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('G', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5826,6 +6164,7 @@ def p_expression_41(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '!='), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('n', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5840,6 +6179,7 @@ def p_expression_42(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_CNE'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('N', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5854,6 +6194,7 @@ def p_expression_43(p):
 
     p[0] = PEBinary(Leaf(token.STRING, 'K_WNE'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBComp('W', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5868,6 +6209,7 @@ def p_expression_44(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '||'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBLogic('o', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5882,6 +6224,7 @@ def p_expression_45(p):
 
     p[0] = PEBinary(Leaf(token.STRING, '&&'), p[1], p[4])
 
+
     # { PEBinary*tmp = new PEBLogic('a', p[1], p[4]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5897,6 +6240,7 @@ def p_expression_46(p):
     p[0] = Node(syms.atom, [p[1], Leaf(token.STRING, ' ? '),
                             p[4], Leaf(token.STRING, ' : '), p[6]])
 
+
     # { PETernary*tmp = new PETernary(p[1], p[4], p[6]);
     #  FILE_NAME(tmp, @2);
     #  p[0] = tmp;
@@ -5919,6 +6263,7 @@ def p_expr_mintypmax_2(p):
     if(parse_debug):
         print('expr_mintypmax_2', list(p))
 
+
     # { switch (min_typ_max_flag) {
     #                case MIN:
     #                  p[0] = p[1];
@@ -5961,6 +6306,7 @@ def p_expression_list_with_nuls_1(p):
     if(parse_debug):
         print('expression_list_with_nuls_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(p[3]);
     #  p[0] = tmp;
@@ -5973,6 +6319,7 @@ def p_expression_list_with_nuls_2(p):
     if(parse_debug):
         print('expression_list_with_nuls_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -5985,6 +6332,7 @@ def p_expression_list_with_nuls_3(p):
     if(parse_debug):
         print('expression_list_with_nuls_3', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #         tmp->push_back(0);
     #  p[0] = tmp;
@@ -5997,6 +6345,7 @@ def p_expression_list_with_nuls_4(p):
     if(parse_debug):
         print('expression_list_with_nuls_4', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->push_back(0);
     #  p[0] = tmp;
@@ -6009,6 +6358,7 @@ def p_expression_list_proper_1(p):
     if(parse_debug):
         print('expression_list_proper_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #         tmp->push_back(p[3]);
     #         p[0] = tmp;
@@ -6021,6 +6371,7 @@ def p_expression_list_proper_2(p):
     if(parse_debug):
         print('expression_list_proper_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -6044,6 +6395,7 @@ def p_expr_primary_or_typename_2(p):
         print('expr_primary_or_typename_2', list(p))
     p[0] = p[1]
 
+
     # { PETypename*tmp = new PETypename(p[1].type);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6058,6 +6410,7 @@ def p_expr_primary_1(p):
         print('expr_primary_1', list(p))
     p[0] = p[1]
 
+
     # { assert(p[1]);
     #  PENumber*tmp = new PENumber(p[1]);
     #  FILE_NAME(tmp, @1);
@@ -6071,6 +6424,7 @@ def p_expr_primary_2(p):
     if(parse_debug):
         print('expr_primary_2', list(p))
 
+
     # { PEFNumber*tmp = new PEFNumber(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6083,6 +6437,7 @@ def p_expr_primary_3(p):
     if(parse_debug):
         print('expr_primary_3', list(p))
 
+
     # { PEString*tmp = new PEString(p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6095,6 +6450,7 @@ def p_expr_primary_4(p):
     if(parse_debug):
         print('expr_primary_4', list(p))
 
+
     # { int unit;
     #
     #           based_size = 0;
@@ -6118,6 +6474,7 @@ def p_expr_primary_5(p):
     if(parse_debug):
         print('expr_primary_5', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  PECallFunction*tmp = new PECallFunction(tn);
     #  FILE_NAME(tmp, @1);
@@ -6133,6 +6490,7 @@ def p_expr_primary_6(p):
         print('expr_primary_6', list(p))
     p[0] = p[1]
 
+
     # { PEIdent*tmp = pform_new_ident(*p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6146,6 +6504,7 @@ def p_expr_primary_7(p):
     if(parse_debug):
         print('expr_primary_7', list(p))
 
+
     # { p[0] = pform_package_ident(@2, p[1], p[3]);
     #  delete p[3];
     #       }
@@ -6157,6 +6516,7 @@ def p_expr_primary_8(p):
     if(parse_debug):
         print('expr_primary_8', list(p))
 
+
     # { list<PExpr*>*expr_list = p[3];
     #  strip_tail_items(expr_list);
     #  PECallFunction*tmp = pform_make_call_function(@1, *p[1], *expr_list);
@@ -6171,6 +6531,7 @@ def p_expr_primary_9(p):
     if(parse_debug):
         print('expr_primary_9', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -6191,6 +6552,7 @@ def p_expr_primary_10(p):
     if(parse_debug):
         print('expr_primary_10', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  PECallFunction*tmp = new PECallFunction(tn, *p[3]);
     #  FILE_NAME(tmp, @1);
@@ -6205,6 +6567,7 @@ def p_expr_primary_11(p):
     if(parse_debug):
         print('expr_primary_11', list(p))
 
+
     # { perm_string use_name = lex_strings.make(p[3]);
     #  PECallFunction*tmp = new PECallFunction(p[1], use_name, *p[5]);
     #  FILE_NAME(tmp, @3);
@@ -6219,6 +6582,7 @@ def p_expr_primary_12(p):
     if(parse_debug):
         print('expr_primary_12', list(p))
 
+
     # { perm_string tn = lex_strings.make(p[1]);
     #  const vector<PExpr*>empty;
     #  PECallFunction*tmp = new PECallFunction(tn, empty);
@@ -6237,6 +6601,7 @@ def p_expr_primary_13(p):
     if(parse_debug):
         print('expr_primary_13', list(p))
 
+
     # { PEIdent*tmp = new PEIdent(*p[1]);
     #  FILE_NAME(tmp,@1);
     #  delete p[1];
@@ -6250,6 +6615,7 @@ def p_expr_primary_14(p):
     if(parse_debug):
         print('expr_primary_14', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -6269,6 +6635,7 @@ def p_expr_primary_15(p):
     if(parse_debug):
         print('expr_primary_15', list(p))
 
+
     # { perm_string tn = perm_string::literal("$acos");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6282,6 +6649,7 @@ def p_expr_primary_16(p):
     if(parse_debug):
         print('expr_primary_16', list(p))
 
+
     # { perm_string tn = perm_string::literal("$acosh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6295,6 +6663,7 @@ def p_expr_primary_17(p):
     if(parse_debug):
         print('expr_primary_17', list(p))
 
+
     # { perm_string tn = perm_string::literal("$asin");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6308,6 +6677,7 @@ def p_expr_primary_18(p):
     if(parse_debug):
         print('expr_primary_18', list(p))
 
+
     # { perm_string tn = perm_string::literal("$asinh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6321,6 +6691,7 @@ def p_expr_primary_19(p):
     if(parse_debug):
         print('expr_primary_19', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atan");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6334,6 +6705,7 @@ def p_expr_primary_20(p):
     if(parse_debug):
         print('expr_primary_20', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atanh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6347,6 +6719,7 @@ def p_expr_primary_21(p):
     if(parse_debug):
         print('expr_primary_21', list(p))
 
+
     # { perm_string tn = perm_string::literal("$atan2");
     #  PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6360,6 +6733,7 @@ def p_expr_primary_22(p):
     if(parse_debug):
         print('expr_primary_22', list(p))
 
+
     # { perm_string tn = perm_string::literal("$ceil");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6373,6 +6747,7 @@ def p_expr_primary_23(p):
     if(parse_debug):
         print('expr_primary_23', list(p))
 
+
     # { perm_string tn = perm_string::literal("$cos");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6386,6 +6761,7 @@ def p_expr_primary_24(p):
     if(parse_debug):
         print('expr_primary_24', list(p))
 
+
     # { perm_string tn = perm_string::literal("$cosh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6399,6 +6775,7 @@ def p_expr_primary_25(p):
     if(parse_debug):
         print('expr_primary_25', list(p))
 
+
     # { perm_string tn = perm_string::literal("$exp");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6412,6 +6789,7 @@ def p_expr_primary_26(p):
     if(parse_debug):
         print('expr_primary_26', list(p))
 
+
     # { perm_string tn = perm_string::literal("$floor");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6425,6 +6803,7 @@ def p_expr_primary_27(p):
     if(parse_debug):
         print('expr_primary_27', list(p))
 
+
     # { perm_string tn = perm_string::literal("$hypot");
     #  PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6438,6 +6817,7 @@ def p_expr_primary_28(p):
     if(parse_debug):
         print('expr_primary_28', list(p))
 
+
     # { perm_string tn = perm_string::literal("$ln");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6451,6 +6831,7 @@ def p_expr_primary_29(p):
     if(parse_debug):
         print('expr_primary_29', list(p))
 
+
     # { perm_string tn = perm_string::literal("$log10");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6464,6 +6845,7 @@ def p_expr_primary_30(p):
     if(parse_debug):
         print('expr_primary_30', list(p))
 
+
     # { perm_string tn = perm_string::literal("$pow");
     #         PECallFunction*tmp = make_call_function(tn, p[3], p[5]);
     #  FILE_NAME(tmp,@1);
@@ -6477,6 +6859,7 @@ def p_expr_primary_31(p):
     if(parse_debug):
         print('expr_primary_31', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sin");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6490,6 +6873,7 @@ def p_expr_primary_32(p):
     if(parse_debug):
         print('expr_primary_32', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sinh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6503,6 +6887,7 @@ def p_expr_primary_33(p):
     if(parse_debug):
         print('expr_primary_33', list(p))
 
+
     # { perm_string tn = perm_string::literal("$sqrt");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6516,6 +6901,7 @@ def p_expr_primary_34(p):
     if(parse_debug):
         print('expr_primary_34', list(p))
 
+
     # { perm_string tn = perm_string::literal("$tan");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6529,6 +6915,7 @@ def p_expr_primary_35(p):
     if(parse_debug):
         print('expr_primary_35', list(p))
 
+
     # { perm_string tn = perm_string::literal("$tanh");
     #  PECallFunction*tmp = make_call_function(tn, p[3]);
     #  FILE_NAME(tmp,@1);
@@ -6542,6 +6929,7 @@ def p_expr_primary_36(p):
     if(parse_debug):
         print('expr_primary_36', list(p))
 
+
     # { PEUnary*tmp = new PEUnary('m', p[3]);
     #         FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6554,6 +6942,7 @@ def p_expr_primary_37(p):
     if(parse_debug):
         print('expr_primary_37', list(p))
 
+
     # { PEBinary*tmp = new PEBinary('M', p[3], p[5]);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6566,6 +6955,7 @@ def p_expr_primary_38(p):
     if(parse_debug):
         print('expr_primary_38', list(p))
 
+
     # { PEBinary*tmp = new PEBinary('m', p[3], p[5]);
     #  FILE_NAME(tmp,@1);
     #  p[0] = tmp;
@@ -6588,6 +6978,7 @@ def p_expr_primary_40(p):
     if(parse_debug):
         print('expr_primary_40', list(p))
 
+
     # { PEConcat*tmp = new PEConcat(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -6601,6 +6992,7 @@ def p_expr_primary_41(p):
     if(parse_debug):
         print('expr_primary_41', list(p))
 
+
     # { PExpr*rep = p[2];
     #  PEConcat*tmp = new PEConcat(*p[4], rep);
     #  FILE_NAME(tmp, @1);
@@ -6615,6 +7007,7 @@ def p_expr_primary_42(p):
     if(parse_debug):
         print('expr_primary_42', list(p))
 
+
     # { PExpr*rep = p[2];
     #  PEConcat*tmp = new PEConcat(*p[4], rep);
     #  FILE_NAME(tmp, @1);
@@ -6632,6 +7025,7 @@ def p_expr_primary_43(p):
     if(parse_debug):
         print('expr_primary_43', list(p))
 
+
     # { // This is the empty queue syntax.
     #  if (gn_system_verilog()) {
     #        list<PExpr*> empty_list;
@@ -6651,6 +7045,7 @@ def p_expr_primary_44(p):
     if(parse_debug):
         print('expr_primary_44', list(p))
 
+
     # { PExpr*base = p[4];
     #  if (gn_system_verilog()) {
     #        PECastSize*tmp = new PECastSize(p[1], base);
@@ -6669,6 +7064,7 @@ def p_expr_primary_45(p):
     if(parse_debug):
         print('expr_primary_45', list(p))
 
+
     # { PExpr*base = p[4];
     #  if (gn_system_verilog()) {
     #        PECastType*tmp = new PECastType(p[1], base);
@@ -6707,6 +7103,7 @@ def p_expr_primary_48(p):
     if(parse_debug):
         print('expr_primary_48', list(p))
 
+
     # { PENull*tmp = new PENull;
     #      FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -6729,6 +7126,7 @@ def p_function_item_list_opt_2(p):
     if(parse_debug):
         print('function_item_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -6748,6 +7146,7 @@ def p_function_item_list_2(p):
     if(parse_debug):
         print('function_item_list_2', list(p))
 
+
     # { /* */
     #  if (p[1] && p[2]) {
     #        vector<pform_tf_port_t>*tmp = p[1];
@@ -6781,6 +7180,7 @@ def p_function_item_2(p):
     if(parse_debug):
         print('function_item_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -6790,6 +7190,7 @@ def p_gate_instance_1(p):
     if(parse_debug):
         print('gate_instance_1', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = p[3];
@@ -6806,6 +7207,7 @@ def p_gate_instance_2(p):
     if(parse_debug):
         print('gate_instance_2', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6827,6 +7229,7 @@ def p_gate_instance_3(p):
     if(parse_debug):
         print('gate_instance_3', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = "";
     #            tmp->parms = p[2];
@@ -6842,6 +7245,7 @@ def p_gate_instance_4(p):
     if(parse_debug):
         print('gate_instance_4', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6864,6 +7268,7 @@ def p_gate_instance_5(p):
     if(parse_debug):
         print('gate_instance_5', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  tmp->name = p[1];
     #  tmp->parms = 0;
@@ -6881,6 +7286,7 @@ def p_gate_instance_6(p):
     if(parse_debug):
         print('gate_instance_6', list(p))
 
+
     # { lgate*tmp = new lgate;
     #  list<pform_range_t>*rng = p[2];
     #  tmp->name = p[1];
@@ -6903,6 +7309,7 @@ def p_gate_instance_7(p):
     if(parse_debug):
         print('gate_instance_7', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = 0;
@@ -6922,6 +7329,7 @@ def p_gate_instance_8(p):
     if(parse_debug):
         print('gate_instance_8', list(p))
 
+
     # { lgate*tmp = new lgate;
     #            tmp->name = p[1];
     #            tmp->parms = 0;
@@ -6941,6 +7349,7 @@ def p_gate_instance_list_1(p):
     if(parse_debug):
         print('gate_instance_list_1', list(p))
 
+
     # { svector<lgate>*tmp1 = p[1];
     #            lgate*tmp2 = p[3];
     #            svector<lgate>*out = new svector<lgate> (*tmp1, *tmp2);
@@ -6956,6 +7365,7 @@ def p_gate_instance_list_2(p):
     if(parse_debug):
         print('gate_instance_list_2', list(p))
 
+
     # { svector<lgate>*tmp = new svector<lgate>(1);
     #            (*tmp)[0] = *p[1];
     #            delete p[1];
@@ -6969,6 +7379,7 @@ def p_gatetype_1(p):
     if(parse_debug):
         print('gatetype_1', list(p))
 
+
     # { p[0] = PGBuiltin::AND; }
 ()
 
@@ -6978,6 +7389,7 @@ def p_gatetype_2(p):
     if(parse_debug):
         print('gatetype_2', list(p))
 
+
     # { p[0] = PGBuiltin::NAND; }
 ()
 
@@ -6987,6 +7399,7 @@ def p_gatetype_3(p):
     if(parse_debug):
         print('gatetype_3', list(p))
 
+
     # { p[0] = PGBuiltin::OR; }
 ()
 
@@ -6996,6 +7409,7 @@ def p_gatetype_4(p):
     if(parse_debug):
         print('gatetype_4', list(p))
 
+
     # { p[0] = PGBuiltin::NOR; }
 ()
 
@@ -7005,6 +7419,7 @@ def p_gatetype_5(p):
     if(parse_debug):
         print('gatetype_5', list(p))
 
+
     # { p[0] = PGBuiltin::XOR; }
 ()
 
@@ -7014,6 +7429,7 @@ def p_gatetype_6(p):
     if(parse_debug):
         print('gatetype_6', list(p))
 
+
     # { p[0] = PGBuiltin::XNOR; }
 ()
 
@@ -7023,6 +7439,7 @@ def p_gatetype_7(p):
     if(parse_debug):
         print('gatetype_7', list(p))
 
+
     # { p[0] = PGBuiltin::BUF; }
 ()
 
@@ -7032,6 +7449,7 @@ def p_gatetype_8(p):
     if(parse_debug):
         print('gatetype_8', list(p))
 
+
     # { p[0] = PGBuiltin::BUFIF0; }
 ()
 
@@ -7041,6 +7459,7 @@ def p_gatetype_9(p):
     if(parse_debug):
         print('gatetype_9', list(p))
 
+
     # { p[0] = PGBuiltin::BUFIF1; }
 ()
 
@@ -7050,6 +7469,7 @@ def p_gatetype_10(p):
     if(parse_debug):
         print('gatetype_10', list(p))
 
+
     # { p[0] = PGBuiltin::NOT; }
 ()
 
@@ -7059,6 +7479,7 @@ def p_gatetype_11(p):
     if(parse_debug):
         print('gatetype_11', list(p))
 
+
     # { p[0] = PGBuiltin::NOTIF0; }
 ()
 
@@ -7068,6 +7489,7 @@ def p_gatetype_12(p):
     if(parse_debug):
         print('gatetype_12', list(p))
 
+
     # { p[0] = PGBuiltin::NOTIF1; }
 ()
 
@@ -7077,6 +7499,7 @@ def p_switchtype_1(p):
     if(parse_debug):
         print('switchtype_1', list(p))
 
+
     # { p[0] = PGBuiltin::NMOS; }
 ()
 
@@ -7086,6 +7509,7 @@ def p_switchtype_2(p):
     if(parse_debug):
         print('switchtype_2', list(p))
 
+
     # { p[0] = PGBuiltin::RNMOS; }
 ()
 
@@ -7095,6 +7519,7 @@ def p_switchtype_3(p):
     if(parse_debug):
         print('switchtype_3', list(p))
 
+
     # { p[0] = PGBuiltin::PMOS; }
 ()
 
@@ -7104,6 +7529,7 @@ def p_switchtype_4(p):
     if(parse_debug):
         print('switchtype_4', list(p))
 
+
     # { p[0] = PGBuiltin::RPMOS; }
 ()
 
@@ -7113,6 +7539,7 @@ def p_switchtype_5(p):
     if(parse_debug):
         print('switchtype_5', list(p))
 
+
     # { p[0] = PGBuiltin::CMOS; }
 ()
 
@@ -7122,6 +7549,7 @@ def p_switchtype_6(p):
     if(parse_debug):
         print('switchtype_6', list(p))
 
+
     # { p[0] = PGBuiltin::RCMOS; }
 ()
 
@@ -7131,6 +7559,7 @@ def p_switchtype_7(p):
     if(parse_debug):
         print('switchtype_7', list(p))
 
+
     # { p[0] = PGBuiltin::TRAN; }
 ()
 
@@ -7140,6 +7569,7 @@ def p_switchtype_8(p):
     if(parse_debug):
         print('switchtype_8', list(p))
 
+
     # { p[0] = PGBuiltin::RTRAN; }
 ()
 
@@ -7149,6 +7579,7 @@ def p_switchtype_9(p):
     if(parse_debug):
         print('switchtype_9', list(p))
 
+
     # { p[0] = PGBuiltin::TRANIF0; }
 ()
 
@@ -7158,6 +7589,7 @@ def p_switchtype_10(p):
     if(parse_debug):
         print('switchtype_10', list(p))
 
+
     # { p[0] = PGBuiltin::TRANIF1; }
 ()
 
@@ -7167,6 +7599,7 @@ def p_switchtype_11(p):
     if(parse_debug):
         print('switchtype_11', list(p))
 
+
     # { p[0] = PGBuiltin::RTRANIF0; }
 ()
 
@@ -7176,6 +7609,7 @@ def p_switchtype_12(p):
     if(parse_debug):
         print('switchtype_12', list(p))
 
+
     # { p[0] = PGBuiltin::RTRANIF1; }
 ()
 
@@ -7187,6 +7621,7 @@ def p_hierarchy_identifier_1(p):
     lpvalue = Leaf(token.NAME, p[1])
     p[0] = lpvalue
 
+
     # { p[0] = new pform_name_t;
     #    p[0]->push_back(name_component_t(lex_strings.make(p[1])));
     #    delete[]p[1];
@@ -7199,6 +7634,7 @@ def p_hierarchy_identifier_2(p):
     if(parse_debug):
         print('hierarchy_identifier_2', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    tmp->push_back(name_component_t(lex_strings.make(p[3])));
     #    delete[]p[3];
@@ -7212,6 +7648,7 @@ def p_hierarchy_identifier_3(p):
     if(parse_debug):
         print('hierarchy_identifier_3', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7228,6 +7665,7 @@ def p_hierarchy_identifier_4(p):
     if(parse_debug):
         print('hierarchy_identifier_4', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    if (! gn_system_verilog()) {
@@ -7249,6 +7687,7 @@ def p_hierarchy_identifier_5(p):
     if(parse_debug):
         print('hierarchy_identifier_5', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7266,6 +7705,7 @@ def p_hierarchy_identifier_6(p):
     if(parse_debug):
         print('hierarchy_identifier_6', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7283,6 +7723,7 @@ def p_hierarchy_identifier_7(p):
     if(parse_debug):
         print('hierarchy_identifier_7', list(p))
 
+
     # { pform_name_t * tmp = p[1];
     #    name_component_t&tail = tmp->back();
     #    index_component_t itmp;
@@ -7300,6 +7741,7 @@ def p_list_of_identifiers_1(p):
     if(parse_debug):
         print('list_of_identifiers_1', list(p))
 
+
     # { p[0] = list_from_identifier(p[1]); }
 ()
 
@@ -7309,6 +7751,7 @@ def p_list_of_identifiers_2(p):
     if(parse_debug):
         print('list_of_identifiers_2', list(p))
 
+
     # { p[0] = list_from_identifier(p[1], p[3]); }
 ()
 
@@ -7318,6 +7761,7 @@ def p_list_of_port_identifiers_1(p):
     if(parse_debug):
         print('list_of_port_identifiers_1', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], 0); }
 ()
 
@@ -7327,6 +7771,7 @@ def p_list_of_port_identifiers_2(p):
     if(parse_debug):
         print('list_of_port_identifiers_2', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], 0); }
 ()
 
@@ -7336,6 +7781,7 @@ def p_list_of_variable_port_identifiers_1(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_1', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], 0); }
 ()
 
@@ -7345,6 +7791,7 @@ def p_list_of_variable_port_identifiers_2(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_2', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[2], p[4]); }
 ()
 
@@ -7354,6 +7801,7 @@ def p_list_of_variable_port_identifiers_3(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_3', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], 0); }
 ()
 
@@ -7363,6 +7811,7 @@ def p_list_of_variable_port_identifiers_4(p):
     if(parse_debug):
         print('list_of_variable_port_identifiers_4', list(p))
 
+
     # { p[0] = make_port_list(p[1], p[3], p[4], p[6]); }
 ()
 
@@ -7372,6 +7821,7 @@ def p_list_of_ports_1(p):
     if(parse_debug):
         print('list_of_ports_1', list(p))
 
+
     # { vector<Module::port_t*>*tmp
     #                    = new vector<Module::port_t*>(1);
     #            (*tmp)[0] = p[1];
@@ -7385,6 +7835,7 @@ def p_list_of_ports_2(p):
     if(parse_debug):
         print('list_of_ports_2', list(p))
 
+
     # { vector<Module::port_t*>*tmp = p[1];
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -7398,6 +7849,7 @@ def p_list_of_port_declarations_1(p):
         print('list_of_port_declarations_1', list(p))
     p[0] = [p[1]]
 
+
     # { vector<Module::port_t*>*tmp
     #                    = new vector<Module::port_t*>(1);
     #            (*tmp)[0] = p[1];
@@ -7415,6 +7867,7 @@ def p_list_of_port_declarations_2(p):
     p[1].append(p[3])
     p[0] = p[1]
 
+
     # { vector<Module::port_t*>*tmp = p[1];
     #            tmp->push_back(p[3]);
     #            p[0] = tmp;
@@ -7427,6 +7880,7 @@ def p_list_of_port_declarations_3(p):
     if(parse_debug):
         print('list_of_port_declarations_3', list(p))
 
+
     # { Module::port_t*ptmp;
     #            perm_string name = lex_strings.make(p[3]);
     #            ptmp = pform_module_port_reference(name, @3.text,
@@ -7452,6 +7906,7 @@ def p_list_of_port_declarations_4(p):
     if(parse_debug):
         print('list_of_port_declarations_4', list(p))
 
+
     # {
     #            yyerror(@2, "error: NULL port declarations are not "
     #                        "allowed.");
@@ -7464,6 +7919,7 @@ def p_list_of_port_declarations_5(p):
     if(parse_debug):
         print('list_of_port_declarations_5', list(p))
 
+
     # {
     #            yyerror(@2, "error: ';' is an invalid port declaration "
     #                        "separator.");
@@ -7478,6 +7934,7 @@ def p_port_declaration_1(p):
     comment, dt, name = p[2], p[4], p[5]
     p[0] = absyn.port_decl(comment, dt, name)
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  data_type_t*use_type = p[4];
@@ -7498,6 +7955,7 @@ def p_port_declaration_2(p):
     if(parse_debug):
         print('port_declaration_2', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7520,6 +7978,7 @@ def p_port_declaration_3(p):
     if(parse_debug):
         print('port_declaration_3', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  ptmp = pform_module_port_reference(name, @2.text, @2.first_line);
@@ -7542,6 +8001,7 @@ def p_port_declaration_4(p):
     if(parse_debug):
         print('port_declaration_4', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7566,6 +8026,7 @@ def p_port_declaration_5(p):
     comment, dt, name = p[2], p[4], p[5]
     p[0] = absyn.port_decl(comment, dt, name)
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  data_type_t*use_dtype = p[4];
@@ -7609,6 +8070,7 @@ def p_port_declaration_6(p):
     if(parse_debug):
         print('port_declaration_6', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[4]);
     #  ptmp = pform_module_port_reference(name, @2.text,
@@ -7631,6 +8093,7 @@ def p_port_declaration_7(p):
     if(parse_debug):
         print('port_declaration_7', list(p))
 
+
     # { Module::port_t*ptmp;
     #  perm_string name = lex_strings.make(p[5]);
     #  NetNet::Type use_type = p[3];
@@ -7743,6 +8206,7 @@ def p_atom2_type_1(p):
     if(parse_debug):
         print('atom2_type_1', list(p))
 
+
     # { p[0] = 8; }
 ()
 
@@ -7752,6 +8216,7 @@ def p_atom2_type_2(p):
     if(parse_debug):
         print('atom2_type_2', list(p))
 
+
     # { p[0] = 16; }
 ()
 
@@ -7761,6 +8226,7 @@ def p_atom2_type_3(p):
     if(parse_debug):
         print('atom2_type_3', list(p))
 
+
     # { p[0] = 32; }
 ()
 
@@ -7770,6 +8236,7 @@ def p_atom2_type_4(p):
     if(parse_debug):
         print('atom2_type_4', list(p))
 
+
     # { p[0] = 64; }
 ()
 
@@ -7780,6 +8247,7 @@ def p_lpvalue_1(p):
         print('lpvalue_1', list(p))
     p[0] = p[1]
 
+
     # { PEIdent*tmp = pform_new_ident(*p[1]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -7793,6 +8261,7 @@ def p_lpvalue_2(p):
     if(parse_debug):
         print('lpvalue_2', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (!p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -7812,6 +8281,7 @@ def p_lpvalue_3(p):
     if(parse_debug):
         print('lpvalue_3', list(p))
 
+
     # { PEConcat*tmp = new PEConcat(*p[2]);
     #  FILE_NAME(tmp, @1);
     #  delete p[2];
@@ -7825,6 +8295,7 @@ def p_lpvalue_4(p):
     if(parse_debug):
         print('lpvalue_4', list(p))
 
+
     # { yyerror(@1, "sorry: streaming concatenation not supported in l-values.");
     #  p[0] = None
     #       }
@@ -7837,6 +8308,7 @@ def p_cont_assign_1(p):
         print('cont_assign_1', list(p))
     absyn.cont_assign_1(p)
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #  tmp->push_back(p[1]);
     #  tmp->push_back(p[3]);
@@ -7850,6 +8322,7 @@ def p_cont_assign_list_1(p):
     if(parse_debug):
         print('cont_assign_list_1', list(p))
 
+
     # { list<PExpr*>*tmp = p[1];
     #  tmp->splice(tmp->end(), *p[3]);
     #  delete p[3];
@@ -7882,6 +8355,7 @@ def p_module_1(p):
 def p__embed0_module(p):
     '''_embed0_module : '''
 
+
     # { pform_startmodule(@2, p[4], p[2]==K_program, p[2]==K_interface, p[3], p[1]); }
 ()
 
@@ -7889,6 +8363,7 @@ def p__embed0_module(p):
 def p__embed1_module(p):
     '''_embed1_module : '''
 
+
     # { pform_module_set_ports(p[8]); }
 ()
 
@@ -7896,6 +8371,7 @@ def p__embed1_module(p):
 def p__embed2_module(p):
     '''_embed2_module : '''
 
+
     # { pform_set_scope_timescale(@2); }
 ()
 
@@ -7903,6 +8379,7 @@ def p__embed2_module(p):
 def p__embed3_module(p):
     '''_embed3_module : '''
 
+
     # { Module::UCDriveType ucd;
     #    // The lexor detected `unconnected_drive directives and
     #    // marked what it found in the uc_drive variable. Use that
@@ -7946,6 +8423,7 @@ def p_module_start_1(p):
     if(parse_debug > 1):
         print('module_start_1', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7955,6 +8433,7 @@ def p_module_start_2(p):
     if(parse_debug):
         print('module_start_2', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7964,6 +8443,7 @@ def p_module_start_3(p):
     if(parse_debug):
         print('module_start_3', list(p))
 
+
     # { p[0] = K_program; }
 ()
 
@@ -7973,6 +8453,7 @@ def p_module_start_4(p):
     if(parse_debug):
         print('module_start_4', list(p))
 
+
     # { p[0] = K_interface; }
 ()
 
@@ -7982,6 +8463,7 @@ def p_module_end_1(p):
     if(parse_debug > 2):
         print('module_end_1', list(p))
 
+
     # { p[0] = K_module; }
 ()
 
@@ -7991,6 +8473,7 @@ def p_module_end_2(p):
     if(parse_debug):
         print('module_end_2', list(p))
 
+
     # { p[0] = K_program; }
 ()
 
@@ -8000,6 +8483,7 @@ def p_module_end_3(p):
     if(parse_debug):
         print('module_end_3', list(p))
 
+
     # { p[0] = K_interface; }
 ()
 
@@ -8019,6 +8503,7 @@ def p_endlabel_opt_2(p):
     if(parse_debug > 2):
         print('endlabel_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8028,6 +8513,7 @@ def p_module_attribute_foreign_1(p):
     if(parse_debug):
         print('module_attribute_foreign_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8037,6 +8523,7 @@ def p_module_attribute_foreign_2(p):
     if(parse_debug > 2):
         print('module_attribute_foreign_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8066,6 +8553,7 @@ def p_module_port_list_opt_3(p):
     if(parse_debug):
         print('module_port_list_opt_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -8075,6 +8563,7 @@ def p_module_port_list_opt_4(p):
     if(parse_debug):
         print('module_port_list_opt_4', list(p))
 
+
     # { yyerror(@2, "Errors in port declarations.");
     #  yyerrok;
     #  p[0] = None
@@ -8152,6 +8641,7 @@ def p_module_item_2(p):
     p[0] = absyn.module_item_2(p[2], p[3], p[5])
     #p[0] = ["module_item_2"]+list(p)
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8172,6 +8662,7 @@ def p_module_item_3(p):
     if(parse_debug):
         print('module_item_3', list(p))
 
+
     # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
     #  pform_set_data_type(@2, tmpt, p[4], NetNet::WIRE, p[1]);
     #  if (p[3] != 0) {
@@ -8188,6 +8679,7 @@ def p_module_item_4(p):
     if(parse_debug):
         print('module_item_4', list(p))
 
+
     # { real_type_t*tmpt = new real_type_t(real_type_t::REAL);
     #  pform_set_data_type(@2, tmpt, p[3], NetNet::WIRE, p[1]);
     #  delete p[1];
@@ -8200,6 +8692,7 @@ def p_module_item_5(p):
     if(parse_debug):
         print('module_item_5', list(p))
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8220,6 +8713,7 @@ def p_module_item_6(p):
     if(parse_debug):
         print('module_item_6', list(p))
 
+
     # { data_type_t*data_type = p[3];
     #  if (data_type == 0) {
     #        data_type = new vector_type_t(IVL_VT_LOGIC, false, 0);
@@ -8240,6 +8734,7 @@ def p_module_item_7(p):
     if(parse_debug):
         print('module_item_7', list(p))
 
+
     # { real_type_t*data_type = new real_type_t(real_type_t::REAL);
     #         pform_makewire(@2, 0, str_strength, p[3], NetNet::WIRE, data_type);
     #  if (p[1]) {
@@ -8256,6 +8751,7 @@ def p_module_item_8(p):
     if(parse_debug):
         print('module_item_8', list(p))
 
+
     # { yyerror(@1, "sorry: trireg nets not supported.");
     #            delete p[3];
     #            delete p[4];
@@ -8268,6 +8764,7 @@ def p_module_item_9(p):
     if(parse_debug):
         print('module_item_9', list(p))
 
+
     # { pform_module_define_port(@2, p[5], p[2], p[3], p[4], p[1]); }
 ()
 
@@ -8277,6 +8774,7 @@ def p_module_item_10(p):
     if(parse_debug):
         print('module_item_10', list(p))
 
+
     # { real_type_t*real_type = new real_type_t(real_type_t::REAL);
     #  pform_module_define_port(@2, p[4], p[2], NetNet::WIRE, real_type, p[1]);
     #       }
@@ -8288,6 +8786,7 @@ def p_module_item_11(p):
     if(parse_debug):
         print('module_item_11', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8306,6 +8805,7 @@ def p_module_item_12(p):
     if(parse_debug):
         print('module_item_12', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8324,6 +8824,7 @@ def p_module_item_13(p):
     if(parse_debug):
         print('module_item_13', list(p))
 
+
     # { NetNet::Type use_type = p[3] ? NetNet::IMPLICIT : NetNet::NONE;
     #  if (vector_type_t*dtype = dynamic_cast<vector_type_t*> (p[3])) {
     #        if (dtype->implicit_flag)
@@ -8358,6 +8859,7 @@ def p_module_item_14(p):
     if(parse_debug):
         print('module_item_14', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[4]) delete p[4];
@@ -8371,6 +8873,7 @@ def p_module_item_15(p):
     if(parse_debug):
         print('module_item_15', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8384,6 +8887,7 @@ def p_module_item_16(p):
     if(parse_debug):
         print('module_item_16', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8397,6 +8901,7 @@ def p_module_item_17(p):
     if(parse_debug):
         print('module_item_17', list(p))
 
+
     # { yyerror(@2, "error: Invalid variable list in port declaration.");
     #  if (p[1]) delete p[1];
     #  if (p[3]) delete p[3];
@@ -8410,6 +8915,7 @@ def p_module_item_18(p):
     if(parse_debug):
         print('module_item_18', list(p))
 
+
     # { pform_attach_discipline(@1, p[1], p[2]); }
 ()
 
@@ -8419,6 +8925,7 @@ def p_module_item_19(p):
     if(parse_debug):
         print('module_item_19', list(p))
 
+
     # { delete attributes_in_context;
     #  attributes_in_context = 0;
     #       }
@@ -8439,6 +8946,7 @@ def p_module_item_21(p):
     if(parse_debug):
         print('module_item_21', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); }
 ()
 
@@ -8448,6 +8956,7 @@ def p_module_item_22(p):
     if(parse_debug):
         print('module_item_22', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); }
 ()
 
@@ -8457,6 +8966,7 @@ def p_module_item_23(p):
     if(parse_debug):
         print('module_item_23', list(p))
 
+
     # { pform_makegates(@2, p[2], p[3], 0, p[4], p[1]); }
 ()
 
@@ -8466,6 +8976,7 @@ def p_module_item_24(p):
     if(parse_debug):
         print('module_item_24', list(p))
 
+
     # { pform_makegates(@2, p[2], p[3], p[4], p[5], p[1]); }
 ()
 
@@ -8475,6 +8986,7 @@ def p_module_item_25(p):
     if(parse_debug):
         print('module_item_25', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, 0, p[3], p[1]); }
 ()
 
@@ -8484,6 +8996,7 @@ def p_module_item_26(p):
     if(parse_debug):
         print('module_item_26', list(p))
 
+
     # { pform_makegates(@2, p[2], str_strength, p[3], p[4], p[1]); }
 ()
 
@@ -8493,6 +9006,7 @@ def p_module_item_27(p):
     if(parse_debug):
         print('module_item_27', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, pull_strength, 0, p[2], 0); }
 ()
 
@@ -8502,6 +9016,7 @@ def p_module_item_28(p):
     if(parse_debug):
         print('module_item_28', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, pull_strength, 0, p[2], 0); }
 ()
 
@@ -8511,6 +9026,7 @@ def p_module_item_29(p):
     if(parse_debug):
         print('module_item_29', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[5], 0); }
 ()
 
@@ -8520,6 +9036,7 @@ def p_module_item_30(p):
     if(parse_debug):
         print('module_item_30', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[3], 0, p[7], 0); }
 ()
 
@@ -8529,6 +9046,7 @@ def p_module_item_31(p):
     if(parse_debug):
         print('module_item_31', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLUP, p[5], 0, p[7], 0); }
 ()
 
@@ -8538,6 +9056,7 @@ def p_module_item_32(p):
     if(parse_debug):
         print('module_item_32', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[5], 0); }
 ()
 
@@ -8547,6 +9066,7 @@ def p_module_item_33(p):
     if(parse_debug):
         print('module_item_33', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[5], 0, p[7], 0); }
 ()
 
@@ -8556,6 +9076,7 @@ def p_module_item_34(p):
     if(parse_debug):
         print('module_item_34', list(p))
 
+
     # { pform_makegates(@1, PGBuiltin::PULLDOWN, p[3], 0, p[7], 0); }
 ()
 
@@ -8565,6 +9086,7 @@ def p_module_item_35(p):
     if(parse_debug):
         print('module_item_35', list(p))
 
+
     # { perm_string tmp1 = lex_strings.make(p[2]);
     #            pform_make_modgates(@2, tmp1, p[3], p[4], p[1]);
     #            delete[]p[2];
@@ -8577,6 +9099,7 @@ def p_module_item_36(p):
     if(parse_debug):
         print('module_item_36', list(p))
 
+
     # { yyerror(@2, "error: Invalid module instantiation");
     #            delete[]p[2];
     #            if (p[1]) delete p[1];
@@ -8589,6 +9112,7 @@ def p_module_item_37(p):
     if(parse_debug > 2):
         print('module_item_37', list(p))
 
+
     # { pform_make_pgassign_list(p[4], p[3], p[2], @1.text, @1.first_line); }
 ()
 
@@ -8598,6 +9122,7 @@ def p_module_item_38(p):
     if(parse_debug):
         print('module_item_38', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8611,6 +9136,7 @@ def p_module_item_39(p):
 
     absyn.always_comb(p[3], p[1])
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_COMB, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8622,6 +9148,7 @@ def p_module_item_40(p):
     if(parse_debug):
         print('module_item_40', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_FF, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8633,6 +9160,7 @@ def p_module_item_41(p):
     if(parse_debug):
         print('module_item_41', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_ALWAYS_LATCH, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8644,6 +9172,7 @@ def p_module_item_42(p):
     if(parse_debug):
         print('module_item_42', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_INITIAL, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8655,6 +9184,7 @@ def p_module_item_43(p):
     if(parse_debug):
         print('module_item_43', list(p))
 
+
     # { PProcess*tmp = pform_make_behavior(IVL_PR_FINAL, p[3], p[1]);
     #  FILE_NAME(tmp, @2);
     #       }
@@ -8666,6 +9196,7 @@ def p_module_item_44(p):
     if(parse_debug):
         print('module_item_44', list(p))
 
+
     # { pform_make_analog_behavior(@2, IVL_PR_ALWAYS, p[3]); }
 ()
 
@@ -8720,6 +9251,7 @@ def p_module_item_50(p):
     if(parse_debug):
         print('module_item_50', list(p))
 
+
     # { // Test for bad nesting. I understand it, but it is illegal.
     #        if (pform_parent_generate()) {
     #       cerr << @1 << ": error: Generate/endgenerate regions cannot nest." << endl;
@@ -8736,6 +9268,7 @@ def p_module_item_51(p):
     if(parse_debug):
         print('module_item_51', list(p))
 
+
     # { pform_genvars(@1, p[2]); }
 ()
 
@@ -8745,6 +9278,7 @@ def p_module_item_52(p):
     if(parse_debug):
         print('module_item_52', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8754,6 +9288,7 @@ def p_module_item_53(p):
     if(parse_debug):
         print('module_item_53', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8763,6 +9298,7 @@ def p_module_item_54(p):
     if(parse_debug):
         print('module_item_54', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8772,6 +9308,7 @@ def p_module_item_55(p):
     if(parse_debug):
         print('module_item_55', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -8817,6 +9354,7 @@ def p_module_item_60(p):
     if(parse_debug):
         print('module_item_60', list(p))
 
+
     # { yyerror(@1, "error: syntax error in specify block");
     #  yyerrok;
     #       }
@@ -8828,6 +9366,7 @@ def p_module_item_61(p):
     if(parse_debug):
         print('module_item_61', list(p))
 
+
     # { yyerror(@2, "error: invalid module item.");
     #            yyerrok;
     #          }
@@ -8839,6 +9378,7 @@ def p_module_item_62(p):
     if(parse_debug):
         print('module_item_62', list(p))
 
+
     # { yyerror(@1, "error: syntax error in left side "
     #                    "of continuous assignment.");
     #            yyerrok;
@@ -8851,6 +9391,7 @@ def p_module_item_63(p):
     if(parse_debug):
         print('module_item_63', list(p))
 
+
     # { yyerror(@1, "error: syntax error in "
     #                    "continuous assignment");
     #            yyerrok;
@@ -8863,6 +9404,7 @@ def p_module_item_64(p):
     if(parse_debug):
         print('module_item_64', list(p))
 
+
     # { yyerror(@1, "error: I give up on this "
     #                    "function definition.");
     #            if (p[4]) {
@@ -8882,6 +9424,7 @@ def p_module_item_65(p):
     if(parse_debug):
         print('module_item_65', list(p))
 
+
     # { perm_string tmp3 = lex_strings.make(p[3]);
     #            perm_string tmp5 = lex_strings.make(p[5]);
     #            pform_set_attrib(tmp3, tmp5, p[7]);
@@ -8896,6 +9439,7 @@ def p_module_item_66(p):
     if(parse_debug):
         print('module_item_66', list(p))
 
+
     # { yyerror(@1, "error: Malformed $attribute parameter list."); }
 ()
 
@@ -8903,6 +9447,7 @@ def p_module_item_66(p):
 def p__embed0_module_item(p):
     '''_embed0_module_item : '''
 
+
     # { attributes_in_context = p[1]; }
 ()
 
@@ -8910,6 +9455,7 @@ def p__embed0_module_item(p):
 def p__embed1_module_item(p):
     '''_embed1_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: Parameter overrides are not allowed "
     #                    "in interfaces.");
@@ -8920,6 +9466,7 @@ def p__embed1_module_item(p):
 def p__embed2_module_item(p):
     '''_embed2_module_item : '''
 
+
     # { pform_start_generate_for(@1, p[3], p[5], p[7], p[9], p[11]); }
 ()
 
@@ -8927,6 +9474,7 @@ def p__embed2_module_item(p):
 def p__embed3_module_item(p):
     '''_embed3_module_item : '''
 
+
     # { pform_start_generate_else(@1); }
 ()
 
@@ -8934,6 +9482,7 @@ def p__embed3_module_item(p):
 def p__embed4_module_item(p):
     '''_embed4_module_item : '''
 
+
     # { pform_start_generate_case(@1, p[3]); }
 ()
 
@@ -8941,6 +9490,7 @@ def p__embed4_module_item(p):
 def p__embed5_module_item(p):
     '''_embed5_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: specparam declarations are not allowed "
     #                    "in interfaces.");
@@ -8951,6 +9501,7 @@ def p__embed5_module_item(p):
 def p__embed6_module_item(p):
     '''_embed6_module_item : '''
 
+
     # { if (pform_in_interface())
     #        yyerror(@1, "error: specify blocks are not allowed "
     #                    "in interfaces.");
@@ -8999,6 +9550,7 @@ def p_generate_if_1(p):
     if(parse_debug):
         print('generate_if_1', list(p))
 
+
     # { pform_start_generate_if(@1, p[3]); }
 ()
 
@@ -9026,6 +9578,7 @@ def p_generate_case_item_1(p):
     if(parse_debug):
         print('generate_case_item_1', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -9035,6 +9588,7 @@ def p_generate_case_item_2(p):
     if(parse_debug):
         print('generate_case_item_2', list(p))
 
+
     # { pform_endgenerate(); }
 ()
 
@@ -9042,6 +9596,7 @@ def p_generate_case_item_2(p):
 def p__embed0_generate_case_item(p):
     '''_embed0_generate_case_item : '''
 
+
     # { pform_generate_case_item(@1, p[1]); }
 ()
 
@@ -9049,6 +9604,7 @@ def p__embed0_generate_case_item(p):
 def p__embed1_generate_case_item(p):
     '''_embed1_generate_case_item : '''
 
+
     # { pform_generate_case_item(@1, 0); }
 ()
 
@@ -9067,6 +9623,7 @@ def p_generate_item_2(p):
     if(parse_debug):
         print('generate_item_2', list(p))
 
+
     # { /* Detect and warn about anachronistic begin/end use */
     #  if (generation_flag > GN_VER2001 && warn_anachronisms) {
     #        warn_count += 1;
@@ -9081,6 +9638,7 @@ def p_generate_item_3(p):
     if(parse_debug):
         print('generate_item_3', list(p))
 
+
     # { /* Detect and warn about anachronistic named begin/end use */
     #  if (generation_flag > GN_VER2001 && warn_anachronisms) {
     #        warn_count += 1;
@@ -9094,6 +9652,7 @@ def p_generate_item_3(p):
 def p__embed0_generate_item(p):
     '''_embed0_generate_item : '''
 
+
     # {
     #  pform_start_generate_nblock(@1, p[3]);
     #       }
@@ -9159,6 +9718,7 @@ def p_generate_block_3(p):
     if(parse_debug):
         print('generate_block_3', list(p))
 
+
     # { pform_generate_block_name(p[3]);
     #  if (p[6]) {
     #        if (strcmp(p[3],p[6]) != 0) {
@@ -9199,6 +9759,7 @@ def p_net_decl_assign_1(p):
     if(parse_debug):
         print('net_decl_assign_1', list(p))
 
+
     # { net_decl_assign_t*tmp = new net_decl_assign_t;
     #  tmp->next = tmp;
     #  tmp->name = lex_strings.make(p[1]);
@@ -9214,6 +9775,7 @@ def p_net_decl_assigns_1(p):
     if(parse_debug):
         print('net_decl_assigns_1', list(p))
 
+
     # { net_decl_assign_t*tmp = p[1];
     #            p[3]->next = tmp->next;
     #            tmp->next = p[3];
@@ -9227,6 +9789,7 @@ def p_net_decl_assigns_2(p):
     if(parse_debug):
         print('net_decl_assigns_2', list(p))
 
+
     # { p[0] = p[1];
     #          }
 ()
@@ -9237,6 +9800,7 @@ def p_bit_logic_1(p):
     if(parse_debug):
         print('bit_logic_1', list(p))
 
+
     # { p[0] = IVL_VT_LOGIC; }
 ()
 
@@ -9246,6 +9810,7 @@ def p_bit_logic_2(p):
     if(parse_debug):
         print('bit_logic_2', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; /* Icarus misc */}
 ()
 
@@ -9255,6 +9820,7 @@ def p_bit_logic_3(p):
     if(parse_debug):
         print('bit_logic_3', list(p))
 
+
     # { p[0] = IVL_VT_BOOL; /* IEEE1800 / IEEE1364-2009 */}
 ()
 
@@ -9273,6 +9839,7 @@ def p_bit_logic_opt_2(p):
     if(parse_debug):
         print('bit_logic_opt_2', list(p))
 
+
     # { p[0] = IVL_VT_NO_TYPE; }
 ()
 
@@ -9293,6 +9860,7 @@ def p_net_type_2(p):
     if(parse_debug):
         print('net_type_2', list(p))
 
+
     # { p[0] = NetNet::TRI; }
 ()
 
@@ -9302,6 +9870,7 @@ def p_net_type_3(p):
     if(parse_debug):
         print('net_type_3', list(p))
 
+
     # { p[0] = NetNet::TRI1; }
 ()
 
@@ -9311,6 +9880,7 @@ def p_net_type_4(p):
     if(parse_debug):
         print('net_type_4', list(p))
 
+
     # { p[0] = NetNet::SUPPLY0; }
 ()
 
@@ -9320,6 +9890,7 @@ def p_net_type_5(p):
     if(parse_debug):
         print('net_type_5', list(p))
 
+
     # { p[0] = NetNet::WAND; }
 ()
 
@@ -9329,6 +9900,7 @@ def p_net_type_6(p):
     if(parse_debug):
         print('net_type_6', list(p))
 
+
     # { p[0] = NetNet::TRIAND; }
 ()
 
@@ -9338,6 +9910,7 @@ def p_net_type_7(p):
     if(parse_debug):
         print('net_type_7', list(p))
 
+
     # { p[0] = NetNet::TRI0; }
 ()
 
@@ -9347,6 +9920,7 @@ def p_net_type_8(p):
     if(parse_debug):
         print('net_type_8', list(p))
 
+
     # { p[0] = NetNet::SUPPLY1; }
 ()
 
@@ -9356,6 +9930,7 @@ def p_net_type_9(p):
     if(parse_debug):
         print('net_type_9', list(p))
 
+
     # { p[0] = NetNet::WOR; }
 ()
 
@@ -9365,6 +9940,7 @@ def p_net_type_10(p):
     if(parse_debug):
         print('net_type_10', list(p))
 
+
     # { p[0] = NetNet::TRIOR; }
 ()
 
@@ -9374,6 +9950,7 @@ def p_net_type_11(p):
     if(parse_debug):
         print('net_type_11', list(p))
 
+
     # { p[0] = NetNet::UNRESOLVED_WIRE;
     #                cerr << @1.text << ":" << @1.first_line << ": warning: "
     #                        "'wone' is deprecated, please use 'uwire' "
@@ -9387,6 +9964,7 @@ def p_net_type_12(p):
     if(parse_debug):
         print('net_type_12', list(p))
 
+
     # { p[0] = NetNet::UNRESOLVED_WIRE; }
 ()
 
@@ -9396,6 +9974,7 @@ def p_param_type_1(p):
     if(parse_debug):
         print('param_type_1', list(p))
 
+
     # { param_active_range = p[3];
     #  param_active_signed = p[2];
     #  if ((p[1] == IVL_VT_NO_TYPE) && (p[3] != 0))
@@ -9411,6 +9990,7 @@ def p_param_type_2(p):
     if(parse_debug):
         print('param_type_2', list(p))
 
+
     # { param_active_range = make_range_from_width(integer_width);
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_LOGIC;
@@ -9423,6 +10003,7 @@ def p_param_type_3(p):
     if(parse_debug):
         print('param_type_3', list(p))
 
+
     # { param_active_range = make_range_from_width(64);
     #  param_active_signed = false;
     #  param_active_type = IVL_VT_LOGIC;
@@ -9435,6 +10016,7 @@ def p_param_type_4(p):
     if(parse_debug):
         print('param_type_4', list(p))
 
+
     # { param_active_range = 0;
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_REAL;
@@ -9447,6 +10029,7 @@ def p_param_type_5(p):
     if(parse_debug):
         print('param_type_5', list(p))
 
+
     # { param_active_range = make_range_from_width(p[1]);
     #  param_active_signed = true;
     #  param_active_type = IVL_VT_BOOL;
@@ -9459,6 +10042,7 @@ def p_param_type_6(p):
     if(parse_debug):
         print('param_type_6', list(p))
 
+
     # { pform_set_param_from_type(@1, p[1].type, p[1].text, param_active_range,
     #                            param_active_signed, param_active_type);
     #  delete[]p[1].text;
@@ -9510,6 +10094,7 @@ def p_parameter_assign_1(p):
     expr = Node(syms.tfpdef, [tpname, Leaf(token.EQUAL, p[2]), p[3]])
     p[0] = expr
 
+
     # { PExpr*tmp = p[3];
     #  pform_set_parameter(@1, lex_strings.make(p[1]), param_active_type,
     #                      param_active_signed, param_active_range, tmp, p[4]);
@@ -9523,6 +10108,7 @@ def p_localparam_assign_1(p):
     if(parse_debug):
         print('localparam_assign_1', list(p))
 
+
     # { PExpr*tmp = p[3];
     #  pform_set_localparam(@1, lex_strings.make(p[1]), param_active_type,
     #                       param_active_signed, param_active_range, tmp);
@@ -9546,6 +10132,7 @@ def p_parameter_value_ranges_opt_2(p):
     if(parse_debug):
         print('parameter_value_ranges_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9555,6 +10142,7 @@ def p_parameter_value_ranges_1(p):
     if(parse_debug):
         print('parameter_value_ranges_1', list(p))
 
+
     # { p[0] = p[2]; p[0]->next = p[1]; }
 ()
 
@@ -9564,6 +10152,7 @@ def p_parameter_value_ranges_2(p):
     if(parse_debug):
         print('parameter_value_ranges_2', list(p))
 
+
     # { p[0] = p[1]; p[0]->next = 0; }
 ()
 
@@ -9573,6 +10162,7 @@ def p_parameter_value_range_1(p):
     if(parse_debug):
         print('parameter_value_range_1', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], false, p[3], false, p[5]); }
 ()
 
@@ -9582,6 +10172,7 @@ def p_parameter_value_range_2(p):
     if(parse_debug):
         print('parameter_value_range_2', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], false, p[3], true, p[5]); }
 ()
 
@@ -9591,6 +10182,7 @@ def p_parameter_value_range_3(p):
     if(parse_debug):
         print('parameter_value_range_3', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], true, p[3], false, p[5]); }
 ()
 
@@ -9600,6 +10192,7 @@ def p_parameter_value_range_4(p):
     if(parse_debug):
         print('parameter_value_range_4', list(p))
 
+
     # { p[0] = pform_parameter_value_range(p[1], true, p[3], true, p[5]); }
 ()
 
@@ -9609,6 +10202,7 @@ def p_parameter_value_range_5(p):
     if(parse_debug):
         print('parameter_value_range_5', list(p))
 
+
     # { p[0] = pform_parameter_value_range(true, false, p[2], false, p[2]); }
 ()
 
@@ -9628,6 +10222,7 @@ def p_value_range_expression_2(p):
     if(parse_debug):
         print('value_range_expression_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9637,6 +10232,7 @@ def p_value_range_expression_3(p):
     if(parse_debug):
         print('value_range_expression_3', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9646,6 +10242,7 @@ def p_value_range_expression_4(p):
     if(parse_debug):
         print('value_range_expression_4', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9675,6 +10272,7 @@ def p_parameter_value_opt_1(p):
     if(parse_debug):
         print('parameter_value_opt_1', list(p))
 
+
     # { struct parmvalue_t*tmp = new struct parmvalue_t;
     #            tmp->by_order = p[3];
     #            tmp->by_name = 0;
@@ -9688,6 +10286,7 @@ def p_parameter_value_opt_2(p):
     if(parse_debug):
         print('parameter_value_opt_2', list(p))
 
+
     # { struct parmvalue_t*tmp = new struct parmvalue_t;
     #            tmp->by_order = 0;
     #            tmp->by_name = p[3];
@@ -9701,6 +10300,7 @@ def p_parameter_value_opt_3(p):
     if(parse_debug):
         print('parameter_value_opt_3', list(p))
 
+
     # { assert(p[2]);
     #            PENumber*tmp = new PENumber(p[2]);
     #            FILE_NAME(tmp, @1);
@@ -9720,6 +10320,7 @@ def p_parameter_value_opt_4(p):
     if(parse_debug):
         print('parameter_value_opt_4', list(p))
 
+
     # { assert(p[2]);
     #            PEFNumber*tmp = new PEFNumber(p[2]);
     #            FILE_NAME(tmp, @1);
@@ -9738,6 +10339,7 @@ def p_parameter_value_opt_5(p):
     if(parse_debug):
         print('parameter_value_opt_5', list(p))
 
+
     # { yyerror(@1, "error: syntax error in parameter value "
     #                    "assignment list.");
     #            p[0] = None
@@ -9750,6 +10352,7 @@ def p_parameter_value_opt_6(p):
     if(parse_debug):
         print('parameter_value_opt_6', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9759,6 +10362,7 @@ def p_parameter_value_byname_1(p):
     if(parse_debug):
         print('parameter_value_byname_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = p[4];
@@ -9773,6 +10377,7 @@ def p_parameter_value_byname_2(p):
     if(parse_debug):
         print('parameter_value_byname_2', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = 0;
@@ -9787,6 +10392,7 @@ def p_parameter_value_byname_list_1(p):
     if(parse_debug):
         print('parameter_value_byname_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #  tmp->push_back(*p[1]);
     #  delete p[1];
@@ -9800,6 +10406,7 @@ def p_parameter_value_byname_list_2(p):
     if(parse_debug):
         print('parameter_value_byname_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #  tmp->push_back(*p[3]);
     #  delete p[3];
@@ -9823,6 +10430,7 @@ def p_port_2(p):
     if(parse_debug):
         print('port_2', list(p))
 
+
     # { Module::port_t*tmp = p[4];
     #            tmp->name = lex_strings.make(p[2]);
     #            delete[]p[2];
@@ -9836,6 +10444,7 @@ def p_port_3(p):
     if(parse_debug):
         print('port_3', list(p))
 
+
     # { Module::port_t*tmp = p[2];
     #            tmp->name = perm_string();
     #            p[0] = tmp;
@@ -9848,6 +10457,7 @@ def p_port_4(p):
     if(parse_debug):
         print('port_4', list(p))
 
+
     # { Module::port_t*tmp = p[5];
     #            tmp->name = lex_strings.make(p[2]);
     #            delete[]p[2];
@@ -9871,6 +10481,7 @@ def p_port_opt_2(p):
     if(parse_debug):
         print('port_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -9880,6 +10491,7 @@ def p_port_name_1(p):
     if(parse_debug):
         print('port_name_1', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = p[4];
@@ -9894,6 +10506,7 @@ def p_port_name_2(p):
     if(parse_debug):
         print('port_name_2', list(p))
 
+
     # { yyerror(@3, "error: invalid port connection expression.");
     #            named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
@@ -9909,6 +10522,7 @@ def p_port_name_3(p):
     if(parse_debug):
         print('port_name_3', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = 0;
@@ -9923,6 +10537,7 @@ def p_port_name_4(p):
     if(parse_debug):
         print('port_name_4', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make(p[2]);
     #            tmp->parm = new PEIdent(lex_strings.make(p[2]), true);
@@ -9938,6 +10553,7 @@ def p_port_name_5(p):
     if(parse_debug):
         print('port_name_5', list(p))
 
+
     # { named_pexpr_t*tmp = new named_pexpr_t;
     #            tmp->name = lex_strings.make("*");
     #            tmp->parm = 0;
@@ -9951,6 +10567,7 @@ def p_port_name_list_1(p):
     if(parse_debug):
         print('port_name_list_1', list(p))
 
+
     # { list<named_pexpr_t>*tmp = p[1];
     #         tmp->push_back(*p[3]);
     #  delete p[3];
@@ -9964,6 +10581,7 @@ def p_port_name_list_2(p):
     if(parse_debug):
         print('port_name_list_2', list(p))
 
+
     # { list<named_pexpr_t>*tmp = new list<named_pexpr_t>;
     #         tmp->push_back(*p[1]);
     #  delete p[1];
@@ -9977,6 +10595,7 @@ def p_port_reference_1(p):
     if(parse_debug):
         print('port_reference_1', list(p))
 
+
     # { Module::port_t*ptmp;
     #    perm_string name = lex_strings.make(p[1]);
     #    ptmp = pform_module_port_reference(name, @1.text, @1.first_line);
@@ -9991,6 +10610,7 @@ def p_port_reference_2(p):
     if(parse_debug):
         print('port_reference_2', list(p))
 
+
     # { index_component_t itmp;
     #    itmp.sel = index_component_t::SEL_PART;
     #    itmp.msb = p[3];
@@ -10020,6 +10640,7 @@ def p_port_reference_3(p):
     if(parse_debug):
         print('port_reference_3', list(p))
 
+
     # { index_component_t itmp;
     #    itmp.sel = index_component_t::SEL_BIT;
     #    itmp.msb = p[3];
@@ -10048,6 +10669,7 @@ def p_port_reference_4(p):
     if(parse_debug):
         print('port_reference_4', list(p))
 
+
     # { yyerror(@1, "error: invalid port bit select");
     #    Module::port_t*ptmp = new Module::port_t;
     #    PEIdent*wtmp = new PEIdent(lex_strings.make(p[1]));
@@ -10075,6 +10697,7 @@ def p_port_reference_list_2(p):
     if(parse_debug):
         print('port_reference_list_2', list(p))
 
+
     # { Module::port_t*tmp = p[1];
     #            append(tmp->expr, p[3]->expr);
     #            delete p[3];
@@ -10088,6 +10711,7 @@ def p_dimensions_opt_1(p):
     if(parse_debug > 2):
         print('dimensions_opt_1', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -10117,6 +10741,7 @@ def p_dimensions_2(p):
     if(parse_debug):
         print('dimensions_2', list(p))
 
+
     # { list<pform_range_t> *tmp = p[1];
     #  if (p[2]) {
     #        tmp->splice(tmp->end(), *p[2]);
@@ -10132,6 +10757,7 @@ def p_register_variable_1(p):
     if(parse_debug):
         print('register_variable_1', list(p))
 
+
     # { perm_string name = lex_strings.make(p[1]);
     #  pform_makewire(@1, name, NetNet::REG,
     #                 NetNet::NOT_A_PORT, IVL_VT_NO_TYPE, 0);
@@ -10146,6 +10772,7 @@ def p_register_variable_2(p):
     if(parse_debug):
         print('register_variable_2', list(p))
 
+
     # { if (pform_peek_scope()->var_init_needs_explicit_lifetime()
     #      && (var_lifetime == LexicalScope::INHERITED)) {
     #        cerr << @3 << ": warning: Static variable initialization requires "
@@ -10167,6 +10794,7 @@ def p_register_variable_list_1(p):
     if(parse_debug):
         print('register_variable_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10180,6 +10808,7 @@ def p_register_variable_list_2(p):
     if(parse_debug):
         print('register_variable_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10201,7 +10830,6 @@ def p_net_variable_1(p):
     #  pform_set_reg_idx(name, p[2]);
     p[0] = [p[1], p[2]]
 
-
     #       }
 ()
 
@@ -10212,6 +10840,7 @@ def p_net_variable_list_1(p):
         print('net_variable_list_1', list(p))
     p[0] = [p[1]]
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10226,6 +10855,7 @@ def p_net_variable_list_2(p):
         print('net_variable_list_2', list(p))
     p[0] = p[1]+[p[3]]
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10239,6 +10869,7 @@ def p_event_variable_1(p):
     if(parse_debug):
         print('event_variable_1', list(p))
 
+
     # { if (p[2]) {
     #        yyerror(@2, "sorry: event arrays are not supported.");
     #        delete p[2];
@@ -10253,6 +10884,7 @@ def p_event_variable_list_1(p):
     if(parse_debug):
         print('event_variable_list_1', list(p))
 
+
     # { p[0] = list_from_identifier(p[1]); }
 ()
 
@@ -10262,6 +10894,7 @@ def p_event_variable_list_2(p):
     if(parse_debug):
         print('event_variable_list_2', list(p))
 
+
     # { p[0] = list_from_identifier(p[1], p[3]); }
 ()
 
@@ -10280,6 +10913,7 @@ def p_specify_item_2(p):
     if(parse_debug):
         print('specify_item_2', list(p))
 
+
     # { pform_module_specify_path(p[1]);
     #          }
 ()
@@ -10290,6 +10924,7 @@ def p_specify_item_3(p):
     if(parse_debug):
         print('specify_item_3', list(p))
 
+
     # { pform_module_specify_path(p[1]);
     #          }
 ()
@@ -10300,6 +10935,7 @@ def p_specify_item_4(p):
     if(parse_debug):
         print('specify_item_4', list(p))
 
+
     # { PSpecPath*tmp = p[5];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10315,6 +10951,7 @@ def p_specify_item_5(p):
     if(parse_debug):
         print('specify_item_5', list(p))
 
+
     # { PSpecPath*tmp = p[5];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10330,6 +10967,7 @@ def p_specify_item_6(p):
     if(parse_debug):
         print('specify_item_6', list(p))
 
+
     # { PSpecPath*tmp = p[2];
     #            if (tmp) {
     #                  tmp->conditional = true;
@@ -10345,6 +10983,7 @@ def p_specify_item_7(p):
     if(parse_debug):
         print('specify_item_7', list(p))
 
+
     # { yyerror(@1, "Sorry: ifnone with an edge-sensitive path is "
     #                        "not supported.");
     #            yyerrok;
@@ -10357,6 +10996,7 @@ def p_specify_item_8(p):
     if(parse_debug):
         print('specify_item_8', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10368,6 +11008,7 @@ def p_specify_item_9(p):
     if(parse_debug):
         print('specify_item_9', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10378,6 +11019,7 @@ def p_specify_item_10(p):
     if(parse_debug):
         print('specify_item_10', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10389,6 +11031,7 @@ def p_specify_item_11(p):
     if(parse_debug):
         print('specify_item_11', list(p))
 
+
     # { delete p[5];
     #          }
 ()
@@ -10399,6 +11042,7 @@ def p_specify_item_12(p):
     if(parse_debug):
         print('specify_item_12', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10409,6 +11053,7 @@ def p_specify_item_13(p):
     if(parse_debug):
         print('specify_item_13', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10420,6 +11065,7 @@ def p_specify_item_14(p):
     if(parse_debug):
         print('specify_item_14', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10430,6 +11076,7 @@ def p_specify_item_15(p):
     if(parse_debug):
         print('specify_item_15', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10440,6 +11087,7 @@ def p_specify_item_16(p):
     if(parse_debug):
         print('specify_item_16', list(p))
 
+
     # { delete p[7];
     #            delete p[9];
     #          }
@@ -10451,6 +11099,7 @@ def p_specify_item_17(p):
     if(parse_debug):
         print('specify_item_17', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10461,6 +11110,7 @@ def p_specify_item_18(p):
     if(parse_debug):
         print('specify_item_18', list(p))
 
+
     # { delete p[7];
     #          }
 ()
@@ -10471,6 +11121,7 @@ def p_specify_item_19(p):
     if(parse_debug):
         print('specify_item_19', list(p))
 
+
     # { delete p[5];
     #            delete p[7];
     #          }
@@ -10482,6 +11133,7 @@ def p_specify_item_20(p):
     if(parse_debug):
         print('specify_item_20', list(p))
 
+
     # { delete p[5];
     #          }
 ()
@@ -10492,6 +11144,7 @@ def p_specify_item_21(p):
     if(parse_debug):
         print('specify_item_21', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10502,6 +11155,7 @@ def p_specify_item_22(p):
     if(parse_debug):
         print('specify_item_22', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10512,6 +11166,7 @@ def p_specify_item_23(p):
     if(parse_debug):
         print('specify_item_23', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10522,6 +11177,7 @@ def p_specify_item_24(p):
     if(parse_debug):
         print('specify_item_24', list(p))
 
+
     # { delete p[2];
     #          }
 ()
@@ -10550,6 +11206,7 @@ def p_specify_item_list_opt_1(p):
     if(parse_debug):
         print('specify_item_list_opt_1', list(p))
 
+
     # {  }
 ()
 
@@ -10559,6 +11216,7 @@ def p_specify_item_list_opt_2(p):
     if(parse_debug):
         print('specify_item_list_opt_2', list(p))
 
+
     # {  }
 ()
 
@@ -10568,6 +11226,7 @@ def p_specify_edge_path_decl_1(p):
     if(parse_debug):
         print('specify_edge_path_decl_1', list(p))
 
+
     # { p[0] = pform_assign_path_delay(p[1], p[4]); }
 ()
 
@@ -10577,6 +11236,7 @@ def p_specify_edge_path_decl_2(p):
     if(parse_debug):
         print('specify_edge_path_decl_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = pform_assign_path_delay(p[1], tmp);
@@ -10609,6 +11269,7 @@ def p_specify_edge_path_1(p):
     if(parse_debug):
         print('specify_edge_path_1', list(p))
 
+
     # { int edge_flag = 0;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], false, p[6], p[8]); }
 ()
@@ -10619,6 +11280,7 @@ def p_specify_edge_path_2(p):
     if(parse_debug):
         print('specify_edge_path_2', list(p))
 
+
     # { int edge_flag = p[2]? 1 : -1;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], false, p[7], p[9]);}
 ()
@@ -10629,6 +11291,7 @@ def p_specify_edge_path_3(p):
     if(parse_debug):
         print('specify_edge_path_3', list(p))
 
+
     # { int edge_flag = 0;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[2], p[3], true, p[6], p[8]); }
 ()
@@ -10639,6 +11302,7 @@ def p_specify_edge_path_4(p):
     if(parse_debug):
         print('specify_edge_path_4', list(p))
 
+
     # { int edge_flag = p[2]? 1 : -1;
     #                p[0] = pform_make_specify_edge_path(@1, edge_flag, p[3], p[4], true, p[7], p[9]); }
 ()
@@ -10676,6 +11340,7 @@ def p_specify_simple_path_decl_1(p):
     if(parse_debug):
         print('specify_simple_path_decl_1', list(p))
 
+
     # { p[0] = pform_assign_path_delay(p[1], p[4]); }
 ()
 
@@ -10685,6 +11350,7 @@ def p_specify_simple_path_decl_2(p):
     if(parse_debug):
         print('specify_simple_path_decl_2', list(p))
 
+
     # { list<PExpr*>*tmp = new list<PExpr*>;
     #            tmp->push_back(p[3]);
     #            p[0] = pform_assign_path_delay(p[1], tmp);
@@ -10697,6 +11363,7 @@ def p_specify_simple_path_decl_3(p):
     if(parse_debug):
         print('specify_simple_path_decl_3', list(p))
 
+
     # { yyerror(@3, "Syntax error in delay value list.");
     #            yyerrok;
     #            p[0] = None
@@ -10709,6 +11376,7 @@ def p_specify_simple_path_1(p):
     if(parse_debug):
         print('specify_simple_path_1', list(p))
 
+
     # { p[0] = pform_make_specify_path(@1, p[2], p[3], false, p[5]); }
 ()
 
@@ -10718,6 +11386,7 @@ def p_specify_simple_path_2(p):
     if(parse_debug):
         print('specify_simple_path_2', list(p))
 
+
     # { p[0] = pform_make_specify_path(@1, p[2], p[3], true, p[5]); }
 ()
 
@@ -10727,6 +11396,7 @@ def p_specify_simple_path_3(p):
     if(parse_debug):
         print('specify_simple_path_3', list(p))
 
+
     # { yyerror(@1, "Invalid simple path");
     #            yyerrok;
     #          }
@@ -10738,6 +11408,7 @@ def p_specify_path_identifiers_1(p):
     if(parse_debug):
         print('specify_path_identifiers_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[1]));
     #            p[0] = tmp;
@@ -10751,6 +11422,7 @@ def p_specify_path_identifiers_2(p):
     if(parse_debug):
         print('specify_path_identifiers_2', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Bit selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10769,6 +11441,7 @@ def p_specify_path_identifiers_3(p):
     if(parse_debug):
         print('specify_path_identifiers_3', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Part selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10787,6 +11460,7 @@ def p_specify_path_identifiers_4(p):
     if(parse_debug):
         print('specify_path_identifiers_4', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[3]));
     #            p[0] = tmp;
@@ -10800,6 +11474,7 @@ def p_specify_path_identifiers_5(p):
     if(parse_debug):
         print('specify_path_identifiers_5', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Bit selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10818,6 +11493,7 @@ def p_specify_path_identifiers_6(p):
     if(parse_debug):
         print('specify_path_identifiers_6', list(p))
 
+
     # { if (gn_specify_blocks_flag) {
     #                  yywarn(@4, "Part selects are not currently supported "
     #                             "in path declarations. The declaration "
@@ -10836,6 +11512,7 @@ def p_specparam_1(p):
     if(parse_debug):
         print('specparam_1', list(p))
 
+
     # { PExpr*tmp = p[3];
     #            pform_set_specparam(@1, lex_strings.make(p[1]),
     #                                param_active_range, tmp);
@@ -10849,6 +11526,7 @@ def p_specparam_2(p):
     if(parse_debug):
         print('specparam_2', list(p))
 
+
     # { PExpr*tmp = 0;
     #            switch (min_typ_max_flag) {
     #                case MIN:
@@ -10895,6 +11573,7 @@ def p_specparam_3(p):
     if(parse_debug):
         print('specparam_3', list(p))
 
+
     # { delete[]p[1];
     #            delete p[3];
     #          }
@@ -10906,6 +11585,7 @@ def p_specparam_4(p):
     if(parse_debug):
         print('specparam_4', list(p))
 
+
     # { delete[]p[1];
     #            delete p[4];
     #            delete p[6];
@@ -10945,6 +11625,7 @@ def p_specparam_decl_2(p):
     if(parse_debug):
         print('specparam_decl_2', list(p))
 
+
     # { param_active_range = 0; }
 ()
 
@@ -10952,6 +11633,7 @@ def p_specparam_decl_2(p):
 def p__embed0_specparam_decl(p):
     '''_embed0_specparam_decl : '''
 
+
     # { param_active_range = p[1]; }
 ()
 
@@ -10961,6 +11643,7 @@ def p_spec_polarity_1(p):
     if(parse_debug):
         print('spec_polarity_1', list(p))
 
+
     # { p[0] = '+'; }
 ()
 
@@ -10970,6 +11653,7 @@ def p_spec_polarity_2(p):
     if(parse_debug):
         print('spec_polarity_2', list(p))
 
+
     # { p[0] = '-'; }
 ()
 
@@ -10979,6 +11663,7 @@ def p_spec_polarity_3(p):
     if(parse_debug):
         print('spec_polarity_3', list(p))
 
+
     # { p[0] = None   }
 ()
 
@@ -10988,6 +11673,7 @@ def p_spec_reference_event_1(p):
     if(parse_debug):
         print('spec_reference_event_1', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -10997,6 +11683,7 @@ def p_spec_reference_event_2(p):
     if(parse_debug):
         print('spec_reference_event_2', list(p))
 
+
     # { delete p[2]; }
 ()
 
@@ -11006,6 +11693,7 @@ def p_spec_reference_event_3(p):
     if(parse_debug):
         print('spec_reference_event_3', list(p))
 
+
     # { delete p[2];
     #       delete p[4];
     #     }
@@ -11017,6 +11705,7 @@ def p_spec_reference_event_4(p):
     if(parse_debug):
         print('spec_reference_event_4', list(p))
 
+
     # { delete p[2];
     #       delete p[4];
     #     }
@@ -11028,6 +11717,7 @@ def p_spec_reference_event_5(p):
     if(parse_debug):
         print('spec_reference_event_5', list(p))
 
+
     # { delete p[5]; }
 ()
 
@@ -11037,6 +11727,7 @@ def p_spec_reference_event_6(p):
     if(parse_debug):
         print('spec_reference_event_6', list(p))
 
+
     # { delete p[5];
     #       delete p[7];
     #     }
@@ -11048,6 +11739,7 @@ def p_spec_reference_event_7(p):
     if(parse_debug):
         print('spec_reference_event_7', list(p))
 
+
     # { delete p[1];
     #       delete p[3];
     #     }
@@ -11059,6 +11751,7 @@ def p_spec_reference_event_8(p):
     if(parse_debug):
         print('spec_reference_event_8', list(p))
 
+
     # { delete p[1]; }
 ()
 
@@ -11086,6 +11779,7 @@ def p_spec_notifier_opt_1(p):
     if(parse_debug):
         print('spec_notifier_opt_1', list(p))
 
+
     # {  }
 ()
 
@@ -11095,6 +11789,7 @@ def p_spec_notifier_opt_2(p):
     if(parse_debug):
         print('spec_notifier_opt_2', list(p))
 
+
     # {  }
 ()
 
@@ -11104,6 +11799,7 @@ def p_spec_notifier_1(p):
     if(parse_debug):
         print('spec_notifier_1', list(p))
 
+
     # { args_after_notifier = 0; }
 ()
 
@@ -11113,6 +11809,7 @@ def p_spec_notifier_2(p):
     if(parse_debug):
         print('spec_notifier_2', list(p))
 
+
     # { args_after_notifier = 0; delete p[2]; }
 ()
 
@@ -11122,6 +11819,7 @@ def p_spec_notifier_3(p):
     if(parse_debug):
         print('spec_notifier_3', list(p))
 
+
     # {  args_after_notifier += 1; }
 ()
 
@@ -11131,6 +11829,7 @@ def p_spec_notifier_4(p):
     if(parse_debug):
         print('spec_notifier_4', list(p))
 
+
     # { args_after_notifier += 1;
     #            if (args_after_notifier >= 3)  {
     #                     cerr << @3 << ": warning: timing checks are not supported "
@@ -11146,6 +11845,7 @@ def p_spec_notifier_5(p):
     if(parse_debug):
         print('spec_notifier_5', list(p))
 
+
     # { args_after_notifier = 0; delete[]p[1]; }
 ()
 
@@ -11155,18 +11855,22 @@ def p_statement_item_1(p):
     if(parse_debug):
         print('statement_item_1', list(p))
 
+
     # { PCAssign*tmp = new PCAssign(p[2], p[4]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
     #          }
 ()
 
+# TODO: read all statement items
+
 
 def p_statement_item_2(p):
     '''statement_item : K_deassign lpvalue ';' '''
     if(parse_debug):
         print('statement_item_2', list(p))
 
+
     # { PDeassign*tmp = new PDeassign(p[2]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11179,6 +11883,7 @@ def p_statement_item_3(p):
     if(parse_debug):
         print('statement_item_3', list(p))
 
+
     # { PForce*tmp = new PForce(p[2], p[4]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11191,6 +11896,7 @@ def p_statement_item_4(p):
     if(parse_debug):
         print('statement_item_4', list(p))
 
+
     # { PRelease*tmp = new PRelease(p[2]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11203,6 +11909,7 @@ def p_statement_item_5(p):
     if(parse_debug):
         print('statement_item_5', list(p))
 
+
     # { PBlock*tmp = new PBlock(PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11223,6 +11930,7 @@ def p_statement_item_6(p):
 
         p[0] = tmp
 
+
     # { PBlock*tmp;
     #  if (p[3]) {
     #      pform_pop_scope();
@@ -11247,6 +11955,7 @@ def p_statement_item_7(p):
 
     p[0] = list(p)
 
+
     # { pform_pop_scope();
     #  assert(! current_block_stack.empty());
     #  PBlock*tmp = current_block_stack.top();
@@ -11274,6 +11983,7 @@ def p_statement_item_8(p):
     if(parse_debug):
         print('statement_item_8', list(p))
 
+
     # { PBlock*tmp = new PBlock(p[2]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11286,6 +11996,7 @@ def p_statement_item_9(p):
     if(parse_debug):
         print('statement_item_9', list(p))
 
+
     # { PBlock*tmp;
     #  if (p[3]) {
     #      pform_pop_scope();
@@ -11309,6 +12020,7 @@ def p_statement_item_10(p):
     if(parse_debug):
         print('statement_item_10', list(p))
 
+
     # { pform_pop_scope();
     #         assert(! current_block_stack.empty());
     #  PBlock*tmp = current_block_stack.top();
@@ -11337,6 +12049,7 @@ def p_statement_item_11(p):
     if(parse_debug):
         print('statement_item_11', list(p))
 
+
     # { PDisable*tmp = new PDisable(*p[2]);
     #            FILE_NAME(tmp, @1);
     #            delete p[2];
@@ -11350,6 +12063,7 @@ def p_statement_item_12(p):
     if(parse_debug):
         print('statement_item_12', list(p))
 
+
     # { pform_name_t tmp_name;
     #            PDisable*tmp = new PDisable(tmp_name);
     #            FILE_NAME(tmp, @1);
@@ -11363,6 +12077,7 @@ def p_statement_item_13(p):
     if(parse_debug):
         print('statement_item_13', list(p))
 
+
     # { PTrigger*tmp = new PTrigger(*p[2]);
     #            FILE_NAME(tmp, @1);
     #            delete p[2];
@@ -11406,6 +12121,7 @@ def p_statement_item_17(p):
     if(parse_debug):
         print('statement_item_17', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQ, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11418,6 +12134,7 @@ def p_statement_item_18(p):
     if(parse_debug):
         print('statement_item_18', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQX, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11430,6 +12147,7 @@ def p_statement_item_19(p):
     if(parse_debug):
         print('statement_item_19', list(p))
 
+
     # { PCase*tmp = new PCase(NetCase::EQZ, p[3], p[5]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11442,6 +12160,7 @@ def p_statement_item_20(p):
     if(parse_debug):
         print('statement_item_20', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11451,6 +12170,7 @@ def p_statement_item_21(p):
     if(parse_debug):
         print('statement_item_21', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11460,6 +12180,7 @@ def p_statement_item_22(p):
     if(parse_debug):
         print('statement_item_22', list(p))
 
+
     # { yyerrok; }
 ()
 
@@ -11469,6 +12190,9 @@ def p_statement_item_23(p):
     if(parse_debug):
         print('statement_item_23', list(p))
 
+    p[0] = absyn.cond_statement3(p[3], p[5], None)
+
+
     # { PCondit*tmp = new PCondit(p[3], p[5], 0);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11483,7 +12207,6 @@ def p_statement_item_24(p):
 
         p[0] = absyn.cond_statement3(p[3], p[5], p[7])
 
-
     # { PCondit*tmp = new PCondit(p[3], p[5], p[7]);
     #            FILE_NAME(tmp, @1);
     #            p[0] = tmp;
@@ -11496,6 +12219,7 @@ def p_statement_item_25(p):
     if(parse_debug):
         print('statement_item_25', list(p))
 
+
     # { yyerror(@1, "error: Malformed conditional expression.");
     #            p[0] = p[5];
     #          }
@@ -11507,6 +12231,7 @@ def p_statement_item_26(p):
     if(parse_debug):
         print('statement_item_26', list(p))
 
+
     # { yyerror(@1, "error: Malformed conditional expression.");
     #            p[0] = p[5];
     #          }
@@ -11528,6 +12253,7 @@ def p_statement_item_28(p):
     if(parse_debug):
         print('statement_item_28', list(p))
 
+
     # { p[0] = pform_compressed_assign_from_inc_dec(@1, p[1]); }
 ()
 
@@ -11537,6 +12263,7 @@ def p_statement_item_29(p):
     if(parse_debug):
         print('statement_item_29', list(p))
 
+
     # { PExpr*del = p[1]->front();
     #  assert(p[1]->size() == 1);
     #  delete p[1];
@@ -11552,6 +12279,7 @@ def p_statement_item_30(p):
     if(parse_debug):
         print('statement_item_30', list(p))
 
+
     # { PEventStatement*tmp = p[1];
     #  if (tmp == 0) {
     #        yyerror(@1, "error: Invalid event control.");
@@ -11569,6 +12297,7 @@ def p_statement_item_31(p):
     if(parse_debug):
         print('statement_item_31', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement;
     #  FILE_NAME(tmp, @1);
     #  tmp->set_statement(p[3]);
@@ -11582,6 +12311,7 @@ def p_statement_item_32(p):
     if(parse_debug):
         print('statement_item_32', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement;
     #  FILE_NAME(tmp, @1);
     #  tmp->set_statement(p[5]);
@@ -11609,6 +12339,7 @@ def p_statement_item_33(p):
     """
     p[0] = absyn.assign3(p[1], p[2], p[3])
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11621,6 +12352,7 @@ def p_statement_item_34(p):
     if(parse_debug):
         print('statement_item_34', list(p))
 
+
     # { yyerror(@2, "Syntax in assignment statement l-value.");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11633,6 +12365,7 @@ def p_statement_item_35(p):
     if(parse_debug):
         print('statement_item_35', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11645,6 +12378,7 @@ def p_statement_item_36(p):
     if(parse_debug):
         print('statement_item_36', list(p))
 
+
     # { yyerror(@2, "Syntax in assignment statement l-value.");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11657,6 +12391,7 @@ def p_statement_item_37(p):
     if(parse_debug):
         print('statement_item_37', list(p))
 
+
     # { PExpr*del = p[3]->front(); p[3]->pop_front();
     #  assert(p[3]->empty());
     #  PAssign*tmp = new PAssign(p[1],del,p[4]);
@@ -11671,6 +12406,7 @@ def p_statement_item_38(p):
     if(parse_debug):
         print('statement_item_38', list(p))
 
+
     # { PExpr*del = p[3]->front(); p[3]->pop_front();
     #  assert(p[3]->empty());
     #  PAssignNB*tmp = new PAssignNB(p[1],del,p[4]);
@@ -11685,6 +12421,7 @@ def p_statement_item_39(p):
     if(parse_debug):
         print('statement_item_39', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],0,p[3],p[4]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11697,6 +12434,7 @@ def p_statement_item_40(p):
     if(parse_debug):
         print('statement_item_40', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[5],p[7],p[8]);
     #  FILE_NAME(tmp,@1);
     #  tmp->set_lineno(@1.first_line);
@@ -11710,6 +12448,7 @@ def p_statement_item_41(p):
     if(parse_debug):
         print('statement_item_41', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],0,p[3],p[4]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11722,6 +12461,7 @@ def p_statement_item_42(p):
     if(parse_debug):
         print('statement_item_42', list(p))
 
+
     # { PAssignNB*tmp = new PAssignNB(p[1],p[5],p[7],p[8]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11734,6 +12474,7 @@ def p_statement_item_43(p):
     if(parse_debug):
         print('statement_item_43', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11746,6 +12487,7 @@ def p_statement_item_44(p):
     if(parse_debug):
         print('statement_item_44', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1],p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -11758,6 +12500,7 @@ def p_statement_item_45(p):
     if(parse_debug):
         print('statement_item_45', list(p))
 
+
     # { PEventStatement*tmp;
     #            PEEvent*etmp = new PEEvent(PEEvent::POSITIVE, p[3]);
     #            tmp = new PEventStatement(etmp);
@@ -11773,6 +12516,7 @@ def p_statement_item_46(p):
     if(parse_debug):
         print('statement_item_46', list(p))
 
+
     # { PEventStatement*tmp = new PEventStatement((PEEvent*)0);
     #            FILE_NAME(tmp,@1);
     #            p[0] = tmp;
@@ -11785,6 +12529,7 @@ def p_statement_item_47(p):
     if(parse_debug):
         print('statement_item_47', list(p))
 
+
     # { PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), *p[3]);
     #            FILE_NAME(tmp,@1);
     #            delete[]p[1];
@@ -11799,6 +12544,7 @@ def p_statement_item_48(p):
     if(parse_debug):
         print('statement_item_48', list(p))
 
+
     # { list<PExpr*>pt;
     #            PCallTask*tmp = new PCallTask(lex_strings.make(p[1]), pt);
     #            FILE_NAME(tmp,@1);
@@ -11813,6 +12559,7 @@ def p_statement_item_49(p):
     if(parse_debug):
         print('statement_item_49', list(p))
 
+
     # { PCallTask*tmp = pform_make_call_task(@1, *p[1], *p[3]);
     #  delete p[1];
     #  delete p[3];
@@ -11826,6 +12573,7 @@ def p_statement_item_50(p):
     if(parse_debug):
         print('statement_item_50', list(p))
 
+
     # { /* ....randomize with { <constraints> } */
     #  if (p[1] && peek_tail_name(*p[1]) == "randomize") {
     #        if (!gn_system_verilog())
@@ -11849,6 +12597,7 @@ def p_statement_item_51(p):
     if(parse_debug):
         print('statement_item_51', list(p))
 
+
     # { pform_name_t*t_name = p[1];
     #  while (! p[3]->empty()) {
     #        t_name->push_back(p[3]->front());
@@ -11869,6 +12618,7 @@ def p_statement_item_52(p):
     if(parse_debug):
         print('statement_item_52', list(p))
 
+
     # { list<PExpr*>pt;
     #  PCallTask*tmp = pform_make_call_task(@1, *p[1], pt);
     #  delete p[1];
@@ -11882,6 +12632,7 @@ def p_statement_item_53(p):
     if(parse_debug):
         print('statement_item_53', list(p))
 
+
     # { PChainConstructor*tmp = new PChainConstructor(*p[5]);
     #  FILE_NAME(tmp, @3);
     #  delete p[1];
@@ -11895,6 +12646,7 @@ def p_statement_item_54(p):
     if(parse_debug):
         print('statement_item_54', list(p))
 
+
     # { yyerror(@3, "error: Syntax error in task arguments.");
     #  list<PExpr*>pt;
     #  PCallTask*tmp = pform_make_call_task(@1, *p[1], pt);
@@ -11909,6 +12661,7 @@ def p_statement_item_55(p):
     if(parse_debug):
         print('statement_item_55', list(p))
 
+
     # { yyerror(@2, "error: malformed statement");
     #  yyerrok;
     #  p[0] = new PNoop;
@@ -11919,6 +12672,7 @@ def p_statement_item_55(p):
 def p__embed0_statement_item(p):
     '''_embed0_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11929,6 +12683,7 @@ def p__embed0_statement_item(p):
 def p__embed1_statement_item(p):
     '''_embed1_statement_item : '''
 
+
     # { if (p[3]) {
     #      if (! gn_system_verilog()) {
     #            yyerror("error: Variable declaration in unnamed block "
@@ -11949,6 +12704,7 @@ def p__embed1_statement_item(p):
 def p__embed2_statement_item(p):
     '''_embed2_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_SEQ);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11959,6 +12715,7 @@ def p__embed2_statement_item(p):
 def p__embed3_statement_item(p):
     '''_embed3_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(0, PBlock::BL_PAR);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -11969,6 +12726,7 @@ def p__embed3_statement_item(p):
 def p__embed4_statement_item(p):
     '''_embed4_statement_item : '''
 
+
     # { if (p[3]) {
     #      if (! gn_system_verilog()) {
     #            yyerror("error: Variable declaration in unnamed block "
@@ -11989,6 +12747,7 @@ def p__embed4_statement_item(p):
 def p__embed5_statement_item(p):
     '''_embed5_statement_item : '''
 
+
     # { PBlock*tmp = pform_push_block_scope(p[3], PBlock::BL_PAR);
     #  FILE_NAME(tmp, @1);
     #  current_block_stack.push(tmp);
@@ -12001,6 +12760,7 @@ def p_compressed_statement_1(p):
     if(parse_debug):
         print('compressed_statement_1', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '+', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12013,6 +12773,7 @@ def p_compressed_statement_2(p):
     if(parse_debug):
         print('compressed_statement_2', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '-', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12025,6 +12786,7 @@ def p_compressed_statement_3(p):
     if(parse_debug):
         print('compressed_statement_3', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '*', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12037,6 +12799,7 @@ def p_compressed_statement_4(p):
     if(parse_debug):
         print('compressed_statement_4', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '/', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12049,6 +12812,7 @@ def p_compressed_statement_5(p):
     if(parse_debug):
         print('compressed_statement_5', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '%', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12061,6 +12825,7 @@ def p_compressed_statement_6(p):
     if(parse_debug):
         print('compressed_statement_6', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '&', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12073,6 +12838,7 @@ def p_compressed_statement_7(p):
     if(parse_debug):
         print('compressed_statement_7', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '|', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12085,6 +12851,7 @@ def p_compressed_statement_8(p):
     if(parse_debug):
         print('compressed_statement_8', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], '^', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12097,6 +12864,7 @@ def p_compressed_statement_9(p):
     if(parse_debug):
         print('compressed_statement_9', list(p))
 
+
     # { PAssign  *tmp = new PAssign(p[1], 'l', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12109,6 +12877,7 @@ def p_compressed_statement_10(p):
     if(parse_debug):
         print('compressed_statement_10', list(p))
 
+
     # { PAssign*tmp = new PAssign(p[1], 'r', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12121,6 +12890,7 @@ def p_compressed_statement_11(p):
     if(parse_debug):
         print('compressed_statement_11', list(p))
 
+
     # { PAssign  *tmp = new PAssign(p[1], 'R', p[3]);
     #  FILE_NAME(tmp, @1);
     #  p[0] = tmp;
@@ -12143,6 +12913,7 @@ def p_statement_or_null_list_opt_2(p):
     if(parse_debug):
         print('statement_or_null_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12160,7 +12931,6 @@ def p_statement_or_null_list_1(p):
         tmp.add_statement(p[2])
     p[0] = tmp
 
-
     # { vector<Statement*>*tmp = p[1];
     #  if (p[2]) tmp->push_back(p[2]);
     #  p[0] = tmp;
@@ -12178,6 +12948,7 @@ def p_statement_or_null_list_2(p):
         tmp.add_statement(p[1])
     p[0] = tmp
 
+
     # { vector<Statement*>*tmp = new vector<Statement*>(0);
     #  if (p[1]) tmp->push_back(p[1]);
     #  p[0] = tmp;
@@ -12190,6 +12961,7 @@ def p_analog_statement_1(p):
     if(parse_debug):
         print('analog_statement_1', list(p))
 
+
     # { p[0] = pform_contribution_statement(@2, p[1], p[3]); }
 ()
 
@@ -12199,6 +12971,7 @@ def p_task_item_1(p):
     if(parse_debug):
         print('task_item_1', list(p))
 
+
     # { p[0] = new vector<pform_tf_port_t>(0); }
 ()
 
@@ -12218,6 +12991,7 @@ def p_task_item_list_1(p):
     if(parse_debug):
         print('task_item_list_1', list(p))
 
+
     # { vector<pform_tf_port_t>*tmp = p[1];
     #  size_t s1 = tmp->size();
     #  tmp->resize(s1 + p[2]->size());
@@ -12254,6 +13028,7 @@ def p_task_item_list_opt_2(p):
     if(parse_debug):
         print('task_item_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12273,6 +13048,7 @@ def p_tf_port_list_opt_2(p):
     if(parse_debug):
         print('tf_port_list_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12282,6 +13058,7 @@ def p_udp_body_1(p):
     if(parse_debug):
         print('udp_body_1', list(p))
 
+
     # { lex_end_table();
     #  p[0] = p[2];
     #       }
@@ -12293,6 +13070,7 @@ def p_udp_body_2(p):
     if(parse_debug):
         print('udp_body_2', list(p))
 
+
     # { lex_end_table();
     #  yyerror(@1, "error: Empty UDP table.");
     #  p[0] = None
@@ -12305,6 +13083,7 @@ def p_udp_body_3(p):
     if(parse_debug):
         print('udp_body_3', list(p))
 
+
     # { lex_end_table();
     #  yyerror(@2, "Errors in UDP table");
     #  yyerrok;
@@ -12336,6 +13115,7 @@ def p_udp_comb_entry_1(p):
     if(parse_debug):
         print('udp_comb_entry_1', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+3];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12353,6 +13133,7 @@ def p_udp_comb_entry_list_1(p):
     if(parse_debug):
         print('udp_comb_entry_list_1', list(p))
 
+
     # { list<string>*tmp = new list<string>;
     #            tmp->push_back(p[1]);
     #            delete[]p[1];
@@ -12366,6 +13147,7 @@ def p_udp_comb_entry_list_2(p):
     if(parse_debug):
         print('udp_comb_entry_list_2', list(p))
 
+
     # { list<string>*tmp = p[1];
     #            tmp->push_back(p[2]);
     #            delete[]p[2];
@@ -12379,6 +13161,7 @@ def p_udp_sequ_entry_list_1(p):
     if(parse_debug):
         print('udp_sequ_entry_list_1', list(p))
 
+
     # { list<string>*tmp = new list<string>;
     #            tmp->push_back(p[1]);
     #            delete[]p[1];
@@ -12392,6 +13175,7 @@ def p_udp_sequ_entry_list_2(p):
     if(parse_debug):
         print('udp_sequ_entry_list_2', list(p))
 
+
     # { list<string>*tmp = p[1];
     #            tmp->push_back(p[2]);
     #            delete[]p[2];
@@ -12405,6 +13189,7 @@ def p_udp_sequ_entry_1(p):
     if(parse_debug):
         print('udp_sequ_entry_1', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+5];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12423,6 +13208,7 @@ def p_udp_initial_1(p):
     if(parse_debug):
         print('udp_initial_1', list(p))
 
+
     # { PExpr*etmp = new PENumber(p[4]);
     #            PEIdent*itmp = new PEIdent(lex_strings.make(p[2]));
     #            PAssign*atmp = new PAssign(itmp, etmp);
@@ -12448,6 +13234,7 @@ def p_udp_init_opt_2(p):
     if(parse_debug):
         print('udp_init_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12457,6 +13244,7 @@ def p_udp_input_list_1(p):
     if(parse_debug):
         print('udp_input_list_1', list(p))
 
+
     # { char*tmp = new char[2];
     #            tmp[0] = p[1];
     #            tmp[1] = 0;
@@ -12470,6 +13258,7 @@ def p_udp_input_list_2(p):
     if(parse_debug):
         print('udp_input_list_2', list(p))
 
+
     # { char*tmp = new char[strlen(p[1])+2];
     #            strcpy(tmp, p[1]);
     #            char*tp = tmp+strlen(tmp);
@@ -12486,6 +13275,7 @@ def p_udp_input_sym_1(p):
     if(parse_debug):
         print('udp_input_sym_1', list(p))
 
+
     # { p[0] = '0'; }
 ()
 
@@ -12495,6 +13285,7 @@ def p_udp_input_sym_2(p):
     if(parse_debug):
         print('udp_input_sym_2', list(p))
 
+
     # { p[0] = '1'; }
 ()
 
@@ -12504,6 +13295,7 @@ def p_udp_input_sym_3(p):
     if(parse_debug):
         print('udp_input_sym_3', list(p))
 
+
     # { p[0] = 'x'; }
 ()
 
@@ -12513,6 +13305,7 @@ def p_udp_input_sym_4(p):
     if(parse_debug):
         print('udp_input_sym_4', list(p))
 
+
     # { p[0] = '?'; }
 ()
 
@@ -12522,6 +13315,7 @@ def p_udp_input_sym_5(p):
     if(parse_debug):
         print('udp_input_sym_5', list(p))
 
+
     # { p[0] = 'b'; }
 ()
 
@@ -12531,6 +13325,7 @@ def p_udp_input_sym_6(p):
     if(parse_debug):
         print('udp_input_sym_6', list(p))
 
+
     # { p[0] = '*'; }
 ()
 
@@ -12540,6 +13335,7 @@ def p_udp_input_sym_7(p):
     if(parse_debug):
         print('udp_input_sym_7', list(p))
 
+
     # { p[0] = '%'; }
 ()
 
@@ -12549,6 +13345,7 @@ def p_udp_input_sym_8(p):
     if(parse_debug):
         print('udp_input_sym_8', list(p))
 
+
     # { p[0] = 'f'; }
 ()
 
@@ -12558,6 +13355,7 @@ def p_udp_input_sym_9(p):
     if(parse_debug):
         print('udp_input_sym_9', list(p))
 
+
     # { p[0] = 'F'; }
 ()
 
@@ -12567,6 +13365,7 @@ def p_udp_input_sym_10(p):
     if(parse_debug):
         print('udp_input_sym_10', list(p))
 
+
     # { p[0] = 'l'; }
 ()
 
@@ -12576,6 +13375,7 @@ def p_udp_input_sym_11(p):
     if(parse_debug):
         print('udp_input_sym_11', list(p))
 
+
     # { p[0] = 'h'; }
 ()
 
@@ -12585,6 +13385,7 @@ def p_udp_input_sym_12(p):
     if(parse_debug):
         print('udp_input_sym_12', list(p))
 
+
     # { p[0] = 'B'; }
 ()
 
@@ -12594,6 +13395,7 @@ def p_udp_input_sym_13(p):
     if(parse_debug):
         print('udp_input_sym_13', list(p))
 
+
     # { p[0] = 'r'; }
 ()
 
@@ -12603,6 +13405,7 @@ def p_udp_input_sym_14(p):
     if(parse_debug):
         print('udp_input_sym_14', list(p))
 
+
     # { p[0] = 'R'; }
 ()
 
@@ -12612,6 +13415,7 @@ def p_udp_input_sym_15(p):
     if(parse_debug):
         print('udp_input_sym_15', list(p))
 
+
     # { p[0] = 'M'; }
 ()
 
@@ -12621,6 +13425,7 @@ def p_udp_input_sym_16(p):
     if(parse_debug):
         print('udp_input_sym_16', list(p))
 
+
     # { p[0] = 'n'; }
 ()
 
@@ -12630,6 +13435,7 @@ def p_udp_input_sym_17(p):
     if(parse_debug):
         print('udp_input_sym_17', list(p))
 
+
     # { p[0] = 'N'; }
 ()
 
@@ -12639,6 +13445,7 @@ def p_udp_input_sym_18(p):
     if(parse_debug):
         print('udp_input_sym_18', list(p))
 
+
     # { p[0] = 'p'; }
 ()
 
@@ -12648,6 +13455,7 @@ def p_udp_input_sym_19(p):
     if(parse_debug):
         print('udp_input_sym_19', list(p))
 
+
     # { p[0] = 'P'; }
 ()
 
@@ -12657,6 +13465,7 @@ def p_udp_input_sym_20(p):
     if(parse_debug):
         print('udp_input_sym_20', list(p))
 
+
     # { p[0] = 'Q'; }
 ()
 
@@ -12666,6 +13475,7 @@ def p_udp_input_sym_21(p):
     if(parse_debug):
         print('udp_input_sym_21', list(p))
 
+
     # { p[0] = 'q'; }
 ()
 
@@ -12675,6 +13485,7 @@ def p_udp_input_sym_22(p):
     if(parse_debug):
         print('udp_input_sym_22', list(p))
 
+
     # { p[0] = '_'; }
 ()
 
@@ -12684,6 +13495,7 @@ def p_udp_input_sym_23(p):
     if(parse_debug):
         print('udp_input_sym_23', list(p))
 
+
     # { p[0] = '+'; }
 ()
 
@@ -12693,6 +13505,7 @@ def p_udp_input_sym_24(p):
     if(parse_debug):
         print('udp_input_sym_24', list(p))
 
+
     # { yyerror(@1, "internal error: Input digits parse as decimal number!"); p[0] = '0'; }
 ()
 
@@ -12702,6 +13515,7 @@ def p_udp_output_sym_1(p):
     if(parse_debug):
         print('udp_output_sym_1', list(p))
 
+
     # { p[0] = '0'; }
 ()
 
@@ -12711,6 +13525,7 @@ def p_udp_output_sym_2(p):
     if(parse_debug):
         print('udp_output_sym_2', list(p))
 
+
     # { p[0] = '1'; }
 ()
 
@@ -12720,6 +13535,7 @@ def p_udp_output_sym_3(p):
     if(parse_debug):
         print('udp_output_sym_3', list(p))
 
+
     # { p[0] = 'x'; }
 ()
 
@@ -12729,6 +13545,7 @@ def p_udp_output_sym_4(p):
     if(parse_debug):
         print('udp_output_sym_4', list(p))
 
+
     # { p[0] = '-'; }
 ()
 
@@ -12738,6 +13555,7 @@ def p_udp_output_sym_5(p):
     if(parse_debug):
         print('udp_output_sym_5', list(p))
 
+
     # { yyerror(@1, "internal error: Output digits parse as decimal number!"); p[0] = '0'; }
 ()
 
@@ -12747,6 +13565,7 @@ def p_udp_port_decl_1(p):
     if(parse_debug):
         print('udp_port_decl_1', list(p))
 
+
     # { p[0] = pform_make_udp_input_ports(p[2]); }
 ()
 
@@ -12756,6 +13575,7 @@ def p_udp_port_decl_2(p):
     if(parse_debug):
         print('udp_port_decl_2', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[2]);
     #  PWire*pp = new PWire(pname, NetNet::IMPLICIT, NetNet::POUTPUT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12771,6 +13591,7 @@ def p_udp_port_decl_3(p):
     if(parse_debug):
         print('udp_port_decl_3', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[2]);
     #  PWire*pp = new PWire(pname, NetNet::REG, NetNet::PIMPLICIT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12786,6 +13607,7 @@ def p_udp_port_decl_4(p):
     if(parse_debug):
         print('udp_port_decl_4', list(p))
 
+
     # { perm_string pname = lex_strings.make(p[3]);
     #  PWire*pp = new PWire(pname, NetNet::REG, NetNet::POUTPUT, IVL_VT_LOGIC);
     #  vector<PWire*>*tmp = new vector<PWire*>(1);
@@ -12811,6 +13633,7 @@ def p_udp_port_decls_2(p):
     if(parse_debug):
         print('udp_port_decls_2', list(p))
 
+
     # { vector<PWire*>*tmp = p[1];
     #  size_t s1 = p[1]->size();
     #  tmp->resize(s1+p[2]->size());
@@ -12827,6 +13650,7 @@ def p_udp_port_list_1(p):
     if(parse_debug):
         print('udp_port_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #  tmp->push_back(lex_strings.make(p[1]));
     #  delete[]p[1];
@@ -12840,6 +13664,7 @@ def p_udp_port_list_2(p):
     if(parse_debug):
         print('udp_port_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #  tmp->push_back(lex_strings.make(p[3]));
     #  delete[]p[3];
@@ -12883,6 +13708,7 @@ def p_udp_initial_expr_opt_2(p):
     if(parse_debug):
         print('udp_initial_expr_opt_2', list(p))
 
+
     # { p[0] = None }
 ()
 
@@ -12892,6 +13718,7 @@ def p_udp_input_declaration_list_1(p):
     if(parse_debug):
         print('udp_input_declaration_list_1', list(p))
 
+
     # { list<perm_string>*tmp = new list<perm_string>;
     #            tmp->push_back(lex_strings.make(p[2]));
     #            p[0] = tmp;
@@ -12905,6 +13732,7 @@ def p_udp_input_declaration_list_2(p):
     if(parse_debug):
         print('udp_input_declaration_list_2', list(p))
 
+
     # { list<perm_string>*tmp = p[1];
     #            tmp->push_back(lex_strings.make(p[4]));
     #            p[0] = tmp;
@@ -12918,6 +13746,7 @@ def p_udp_primitive_1(p):
     if(parse_debug):
         print('udp_primitive_1', list(p))
 
+
     # { perm_string tmp2 = lex_strings.make(p[2]);
     #            pform_make_udp(tmp2, p[4], p[7], p[9], p[8],
     #                           @2.text, @2.first_line);
@@ -12942,6 +13771,7 @@ def p_udp_primitive_2(p):
     if(parse_debug):
         print('udp_primitive_2', list(p))
 
+
     # { perm_string tmp2 = lex_strings.make(p[2]);
     #            perm_string tmp6 = lex_strings.make(p[6]);
     #            pform_make_udp(tmp2, p[5], tmp6, p[7], p[9], p[12],