sort out clock names in experiments10_verilog
[soclayout.git] / experiments10_verilog / coriolis2 / settings.py
2021-06-05 Luke Kenneth Casso... sort out clock names in experiments10_verilog
2021-06-05 Luke Kenneth Casso... add dummy (fake) PLL to experiments10_verilog for testing
2021-04-13 Luke Kenneth Casso... whoops forgot settings.py
2021-04-12 Luke Kenneth Casso... set routingGauge manually
2021-04-12 Luke Kenneth Casso... include (but do not use) FreePDK45 in experiments10
2021-04-12 Luke Kenneth Casso... rename sys_clk in adder test experiments10_verilog...
2021-04-12 Luke Kenneth Casso... rename JTAG port in adder test experiments10_verilog...
2021-04-12 Luke Kenneth Casso... back to "working" verilog add
2021-04-09 Luke Kenneth Casso... whitespace cleanup
2021-04-09 Luke Kenneth Casso... rename design of experiments10 to match ls180 chip...
2021-04-02 Luke Kenneth Casso... experiment with nmigen verilog generation