Merge branch 'display-patch' into libresoc-partsig
[nmigen.git] / nmigen /
2021-11-10 Luke Kenneth Casso... Merge branch 'display-patch' into libresoc-partsig libresoc-nmigen-fork tmp
2021-10-22 Luke Kenneth Casso... annoying spelling errors libresoc-partsig
2021-10-22 Luke Kenneth Casso... create dsl.Module._setAstTypeCastFn to decouple constru...
2021-10-20 Luke Kenneth Casso... realised that the new dsl.Module AST Type class should...
2021-10-13 Luke Kenneth Casso... clean up Cat and Repl after realising that Value.cast...
2021-10-10 Luke Kenneth Casso... add redirection of __Slice__ to allow overrides for...
2021-10-05 Luke Kenneth Casso... comment clarification, add missing default value "strid...
2021-10-02 Luke Kenneth Casso... Module._check_signed_cond() performs a Value.cast ...
2021-10-02 Luke Kenneth Casso... part of the nmigen Type (2) dsl.Module abstraction...
2021-10-02 Luke Kenneth Casso... add test in Repl where integers are passed in as the...
2021-10-02 Luke Kenneth Casso... add Value.considered_bool() function
2021-10-02 Luke Kenneth Casso... add redirection of __Part__ to allow overrides for...
2021-10-02 Luke Kenneth Casso... whitespace cleanup and provide warning not to use Type...
2021-10-02 Luke Kenneth Casso... minor cleanup of __Cat__ and Cat to more compact code
2021-10-02 Luke Kenneth Casso... add redirection of __Cat__ to allow overrides for more...
2021-10-02 Luke Kenneth Casso... add redirection of __Repl__ to allow overrides for...
2021-10-02 Luke Kenneth Casso... remove Mux Value.cast before passing to 1st argument...
2021-10-02 Luke Kenneth Casso... add redirection of __Assign__ to allow overrides for...
2021-10-02 Luke Kenneth Casso... add redirection of __Switch__ to allow overrides for...
2021-10-02 Luke Kenneth Casso... add redirection of __Mux__ to allow overrides for more...
2021-10-02 whitequarkhdl.ast: simplify Mux implementation.
2021-09-27 Luke Kenneth Casso... add Display prototype function display-patch
2021-09-27 Anton Blanchardhdl.ast: remove quadratic time complexity in Statement...
2021-09-25 H-S-S-11vendor.xilinx: avoid using `/` for hierarchy in ISE...
2021-09-25 Marcelina KościelnickaUnify Xilinx platforms into a single class, support...
2021-09-25 Adam Jeliński_toolchain: Properly set compiler/linker executables...
2021-08-16 Robin Ole Heinemannvendor.xilinx_{7series,ultrascale}: hierachical ->...
2021-07-17 Jean-François Nguyen_toolchain: substitute '+' with 'X' in tool_env_var().
2021-05-18 Robin Ole Heinemannrpc: fix parsing of negative signed parameters
2021-05-18 Robin Ole Heinemann*: remove unused variables
2021-05-18 Robin Ole Heinemann*: remove unused imports
2021-05-11 Thomas Watsonhdl.dsl: raise SyntaxError for mis-nested If/Elif/Else...
2021-04-12 Adam Greigvendor.lattice_{ecp5,machxo_2_3l}: remove -forceAll... master
2021-03-18 whitequarkhdl.ast: handle int subclasses as slice start/stop...
2021-02-04 dx-moncompat.genlib.roundrobin: fix missing imports
2021-01-31 nickoevendor.xilinx_7series: fix tool names for symbiflow.
2021-01-26 Katherine Temkinvendor.lattice_ecp5: correctly generate OE signaling...
2021-01-23 Adam Greigvendor.lattice_ecp5: replicate OE signal for each outpu...
2021-01-14 Adam Greigvendor.lattice_ecp5: remove outdated comment in ECP5...
2021-01-06 Robin Ole Heinemannlib.fifo.AsyncFIFOBuffered: fix output register accounting
2021-01-06 Robin Ole Heinemannlib.fifo.AsyncFIFOBuffered: fix FFSynchronizer latency
2021-01-06 Robin Ole Heinemannlib.fifo.AsyncFIFOBuffered: use FFSynchronizer instead...
2020-12-12 whitequarkRevert "vendor.xilinx_7series: byte swap generated...
2020-12-12 whitequarkhdl.ast: formatting. NFC.
2020-12-12 whitequarkhdl.ast: normalize case values to two's complement...
2020-12-12 whitequarkback.rtlil: give private items an appropriate name...
2020-11-24 whitequarkbuild.plat: make `verbose` work like all other overrides.
2020-11-24 whitequarkvendor.intel: implement `add_settings` (QSF) and `add_c...
2020-11-22 whitequarkvendor.xilinx_spartan_3_6: fix typo.
2020-11-21 whitequarkhdl.ast: remove dead code. NFC.
2020-11-17 awyglenmigen.hdl.rec: restore Record.shape().
2020-11-14 Marcelina Kościelnickasim._pyrtl: mask Mux selection operand.
2020-11-13 Jan Kowalewskivendor.quicklogic: enable SoC clock configuration
2020-11-13 whitequarkvendor.quicklogic: write OpenOCD scripts as part of...
2020-11-10 whitequarkbuild.plat: TemplatedPlatform.iter_extra_files→Platform...
2020-11-09 awyglehdl.rec: proxy operators correctly.
2020-11-06 Konrad Beckmannvendor.intel: add support for Cyclone V internal oscillator
2020-11-06 whitequarkhdl.ast: deprecate UserValue in favor of ValueCastable.
2020-11-06 whitequarksim.pysim: avoid redundant VCD updates.
2020-11-06 whitequarkFix commit 8313d6e7.
2020-11-06 whitequarkcli: update deprecated import.
2020-11-06 whitequarkvendor.lattice_{ice40,ecp5}: clean up $verilog_initial_...
2020-11-06 awyglehdl.rec: migrate Record from UserValue to ValueCastable.
2020-11-06 awyglehdl.ast: implement ValueCastable.
2020-11-05 whitequarkvendor.quicklogic: part→package
2020-11-03 Norbert Braunvendor.xilinx_7series: byte swap generated bitstream
2020-11-03 Jaro Habigerlib.fifo: fix {r,w}_level in AsyncFIFOBuffered
2020-11-03 Jaro Habigerlib.fifo: fix level on fifo full
2020-11-02 David Lattimorevendor.lattice_ice40: zero-pad CLKHF_DIV in SB_HFOSC...
2020-10-30 Jan Kowalewskivendor.quicklogic: utilize internal SoC clock in EOS-S3
2020-10-30 Jan Kowalewskivendor.quicklogic: fix toolchain nomenclature
2020-10-28 Robin Ole Heinemannlib.fifo.AsyncFFSynchronizer: check input and output...
2020-10-26 whitequarkbuild.dsl: clean up inversion logic.
2020-10-25 whitequarkback.{verilog,rtlil}: adjust $verilog_initial_trigger...
2020-10-24 anuejnlib.fifo.AsyncFIFO: fix incorrect latency of r_level.
2020-10-22 whitequarksim._pyrtl: sign extend RHS of assignment.
2020-10-22 whitequarkhdl.dsl: error on Elif immediately nested in an If.
2020-10-19 Jan Kowalewskivendor.quicklogic: fix syntax
2020-10-18 Xiretzahdl.ir: Update error message for Instance arguments
2020-10-15 whitequarkCI: fix code coverage collection.
2020-10-15 Jan Kowalewskivendor.quicklogic: new platform.
2020-10-15 whitequarktests: keep comments up to date. NFC.
2020-10-15 whitequarkbuild.plat: avoid type confusion in _check_feature.
2020-09-17 Jean-François Nguyenhdl.mem: document ReadPort and WritePort.
2020-08-29 William D. Jonesvendor.lattice_{ecp5,machxo_2_3l}: explain how to set...
2020-08-27 whitequarkback.verilog: use `proc -nomux` if it is available.
2020-08-27 whitequarksim: split into base, core, and engines.
2020-08-27 whitequarksim.pysim: in write_vcd(), close files if an exception...
2020-08-27 whitequarksim._pyclock: new type of process.
2020-08-27 whitequarksim._pycoro: make src_loc() more robust.
2020-08-27 whitequark_toolchain.cxx: work around PyPy missing LDCXXSHARED...
2020-08-27 whitequark_toolchain.cxx: new toolchain.
2020-08-27 whitequarkhdl.ast: clarify exception message for out of bounds...
2020-08-27 whitequarknmigen.test.utils: restore FHDLTestCase to gracefully...
2020-08-27 whitequarktests: move out of the main package.
2020-08-26 William D. Jonesbuild.run: implement SSH remote builds using Paramiko.
2020-08-26 whitequarkback.rtlil: do not squash empty modules.
2020-08-26 whitequarkback.verilog: omit Verilog initial trigger only if...
2020-08-26 whitequarkvendor.xilinx_{7series,ultrascale}: set BUFG* SIM_DEVIC...
2020-08-26 whitequarkvendor.xilinx_7series: unbreak.
next