vendor.xilinx_7series: fix tool names for symbiflow.
authornickoe <oe.nick@gmail.com>
Sun, 31 Jan 2021 18:08:44 +0000 (19:08 +0100)
committerGitHub <noreply@github.com>
Sun, 31 Jan 2021 18:08:44 +0000 (18:08 +0000)
Prefix "tools" with symbiflow_ as is done for the QuickLogic Symbiflow
toolchain. Installing symbiflow gives me the tools with the preifx, so I
guess this is the correct way to move forward.

nmigen/vendor/xilinx_7series.py

index e53a7a44b6c40293a96836bd407b9c37c990dc24..c0f7daeb2f6ceee14f2f86859ea84636c2545cd4 100644 (file)
@@ -52,12 +52,12 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
     -------------------
 
     Required tools:
-        * ``synth``
-        * ``pack``
-        * ``place``
-        * ``route``
-        * ``write_fasm``
-        * ``write_bitstream``
+        * ``symbiflow_synth``
+        * ``symbiflow_pack``
+        * ``symbiflow_place``
+        * ``symbiflow_route``
+        * ``symbiflow_write_fasm``
+        * ``symbiflow_write_bitstream``
 
     The environment is populated by running the script specified in the environment variable
     ``NMIGEN_ENV_Symbiflow``, if present.
@@ -183,12 +183,12 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
     }
 
     _symbiflow_required_tools = [
-        "synth",
-        "pack",
-        "place",
-        "route",
-        "write_fasm",
-        "write_bitstream"
+        "symbiflow_synth",
+        "symbiflow_pack",
+        "symbiflow_place",
+        "symbiflow_route",
+        "symbiflow_write_fasm",
+        "symbiflow_write_bitstream"
     ]
     _symbiflow_file_templates = {
         **TemplatedPlatform.build_script_templates,
@@ -226,20 +226,20 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
     }
     _symbiflow_command_templates = [
         r"""
-        {{invoke_tool("synth")}}
+        {{invoke_tool("symbiflow_synth")}}
             -t {{name}}
             -v {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v
             -p {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
             -x {{name}}.xdc
         """,
         r"""
-        {{invoke_tool("pack")}}
+        {{invoke_tool("symbiflow_pack")}}
             -e {{name}}.eblif
             -P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
             -s {{name}}.sdc
         """,
         r"""
-        {{invoke_tool("place")}}
+        {{invoke_tool("symbiflow_place")}}
             -e {{name}}.eblif
             -p {{name}}.pcf
             -n {{name}}.net
@@ -247,18 +247,18 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
             -s {{name}}.sdc
         """,
         r"""
-        {{invoke_tool("route")}}
+        {{invoke_tool("symbiflow_route")}}
             -e {{name}}.eblif
             -P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
             -s {{name}}.sdc
         """,
         r"""
-        {{invoke_tool("write_fasm")}}
+        {{invoke_tool("symbiflow_write_fasm")}}
             -e {{name}}.eblif
             -P {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
         """,
         r"""
-        {{invoke_tool("write_bitstream")}}
+        {{invoke_tool("symbiflow_write_bitstream")}}
             -f {{name}}.fasm
             -p {{platform._symbiflow_part_map.get(platform._part, platform._part)}}
             -b {{name}}.bit