[breaking-change] Factor out "led", "button" and "switch" resources.
[nmigen-boards.git] / nmigen_boards / versa_ecp5.py
2019-09-23 whitequark[breaking-change] Factor out "led", "button" and "switc...
2019-08-21 whitequarkversa_ecp5: prepare for switchable ECP5 toolchains.
2019-08-04 whitequarkRemove useless _blinky.build_and_program() function.
2019-08-03 whitequarkUpdate all boards to use default_rst.
2019-08-03 whitequarkUpdate all boards to use default_clk.
2019-08-03 whitequarkReplace subprocess.run(..., check=True) with subprocess...
2019-07-05 whitequarkversa_ecp5: add missing pin directions.
2019-06-28 whitequark[breaking-change] Factor out "serial" resource and...
2019-06-25 whitequarkAdd Versa ECP5/ECP5-5G support.