SymbiYosys.git
22 months agoswitch to using hierarchy -smtcheck for smtlib2 solvers, allowing smtlib2_module... add-simcheck-option
Jacob Lifshay [Thu, 23 Jun 2022 04:17:29 +0000 (21:17 -0700)]
switch to using hierarchy -smtcheck for smtlib2 solvers, allowing smtlib2_module modules.

Fixes: #168
Depends on: https://github.com/YosysHQ/yosys/pull/3391

23 months agoupdate install instructions for btorsim
N. Engelhardt [Wed, 1 Jun 2022 14:51:28 +0000 (16:51 +0200)]
update install instructions for btorsim

23 months agoMerge pull request #161 from programmerjake/add-div-mod-floor-tests
Jannis Harder [Thu, 26 May 2022 10:00:05 +0000 (12:00 +0200)]
Merge pull request #161 from programmerjake/add-div-mod-floor-tests

add test for yosys's $divfloor and $modfloor cells

23 months agoadd depth 1
Jacob Lifshay [Wed, 25 May 2022 10:35:21 +0000 (03:35 -0700)]
add depth 1

23 months agoadd test for yosys's $divfloor and $modfloor cells
Jacob Lifshay [Wed, 25 May 2022 00:51:48 +0000 (17:51 -0700)]
add test for yosys's $divfloor and $modfloor cells

Depends on: https://github.com/YosysHQ/yosys/pull/3335

23 months agodocs: add instructions for newer btorsim version required
N. Engelhardt [Tue, 24 May 2022 09:39:10 +0000 (11:39 +0200)]
docs: add instructions for newer btorsim version required

2 years agoMerge pull request #159 from jix/fix-dpmem-example
Jannis Harder [Wed, 11 May 2022 09:23:57 +0000 (11:23 +0200)]
Merge pull request #159 from jix/fix-dpmem-example

examples: Fix use of SVA value change expressions

2 years agoexamples: Fix use of SVA value change expressions
Jannis Harder [Wed, 11 May 2022 08:38:54 +0000 (10:38 +0200)]
examples: Fix use of SVA value change expressions

The $stable value change expression cannot be true for a non-x signal in
the initial state. This is now correctly handled by the verific import,
so the dpmem example needs to start assuming `$stable` only after
leaving the initial state.

2 years agoMerge pull request #156 from jix/refactor-tests
Jannis Harder [Mon, 25 Apr 2022 09:21:21 +0000 (11:21 +0200)]
Merge pull request #156 from jix/refactor-tests

Refactor tests

2 years agoRefactor tests
Jannis Harder [Mon, 11 Apr 2022 15:39:05 +0000 (17:39 +0200)]
Refactor tests

Organize tests into subdirectories and use a new makefile that scans
.sby files and allows selecting tests by mode, engine, solver and/or
subdirectory. Automatically skips tests that use engines/solvers that
are not found in the PATH.

See `cd tests; make help` for a description of supported make targets.

2 years agoAdd --dumptaskinfo option to output some .sby metadata as json
Jannis Harder [Mon, 11 Apr 2022 15:37:27 +0000 (17:37 +0200)]
Add --dumptaskinfo option to output some .sby metadata as json

2 years agoAdd envvar to enable automatic .gitignore creation for workdirs
Jannis Harder [Mon, 11 Apr 2022 15:35:11 +0000 (17:35 +0200)]
Add envvar to enable automatic .gitignore creation for workdirs

2 years agoMerge pull request #140 from nakengelhardt/junit_jny
Miodrag Milanović [Fri, 8 Apr 2022 13:26:48 +0000 (15:26 +0200)]
Merge pull request #140 from nakengelhardt/junit_jny

junit: use write_jny instead of write_json

2 years agojunit: use write_jny instead of write_json
N. Engelhardt [Thu, 10 Mar 2022 16:46:08 +0000 (17:46 +0100)]
junit: use write_jny instead of write_json

2 years agoMerge pull request #155 from jix/invalid_ff_dcinit_merge
Miodrag Milanović [Sun, 3 Apr 2022 10:15:58 +0000 (12:15 +0200)]
Merge pull request #155 from jix/invalid_ff_dcinit_merge

Regression test: do not merge FFs with unconstrained initvals

2 years agoRegression test: do not merge FFs with unconstrained initvals
Jannis Harder [Fri, 1 Apr 2022 17:25:09 +0000 (19:25 +0200)]
Regression test: do not merge FFs with unconstrained initvals

Currently done by `opt -keepdc` via `opt_merge` but not valid in a
formal context.

2 years agoMerge pull request #154 from jix/sby_design-fixes
Jannis Harder [Thu, 31 Mar 2022 14:35:40 +0000 (16:35 +0200)]
Merge pull request #154 from jix/sby_design-fixes

sby_design fixes

2 years agoFix design_hierarchy handling of $paramod cells
Jannis Harder [Thu, 31 Mar 2022 13:51:58 +0000 (15:51 +0200)]
Fix design_hierarchy handling of $paramod cells

2 years agoFix variable name in find_property_by_cellname's error path
Jannis Harder [Thu, 31 Mar 2022 11:12:15 +0000 (13:12 +0200)]
Fix variable name in find_property_by_cellname's error path

2 years agoMerge pull request #151 from jix/prefer-first-trace
Jannis Harder [Wed, 30 Mar 2022 12:48:04 +0000 (14:48 +0200)]
Merge pull request #151 from jix/prefer-first-trace

Prefer the first tracefile for each failing assertion

2 years agoPrefer the first tracefile for each failing assertion
Jannis Harder [Wed, 30 Mar 2022 11:35:57 +0000 (13:35 +0200)]
Prefer the first tracefile for each failing assertion

2 years agoMerge pull request #150 from nakengelhardt/fix_junit_type_assignment
N. Engelhardt [Wed, 30 Mar 2022 10:53:48 +0000 (12:53 +0200)]
Merge pull request #150 from nakengelhardt/fix_junit_type_assignment

note unexpected return statuses in junit

2 years agoMerge pull request #147 from jix/smtbmc-keepgoing
Jannis Harder [Wed, 30 Mar 2022 09:42:48 +0000 (11:42 +0200)]
Merge pull request #147 from jix/smtbmc-keepgoing

Support and tests for smtbmc `--keep-going`

2 years agoTests for `--keep-going`
Jannis Harder [Thu, 24 Mar 2022 15:36:59 +0000 (16:36 +0100)]
Tests for `--keep-going`

This also changes the test Makefile to run `.check.py` files after
running the corresponding `.sby` file to allow more precise testing of
the keep going feature.

2 years agonote unexpected return statuses in junit
N. Engelhardt [Tue, 29 Mar 2022 17:10:29 +0000 (19:10 +0200)]
note unexpected return statuses in junit

2 years agoMerge pull request #148 from nakengelhardt/docs_updates
N. Engelhardt [Mon, 28 Mar 2022 14:34:00 +0000 (16:34 +0200)]
Merge pull request #148 from nakengelhardt/docs_updates

documentation updates

2 years agoMerge pull request #145 from nakengelhardt/fix_junit_tracefile
N. Engelhardt [Mon, 28 Mar 2022 14:32:54 +0000 (16:32 +0200)]
Merge pull request #145 from nakengelhardt/fix_junit_tracefile

junit: handle multiple asserts failing with the same trace

2 years agoMerge pull request #142 from nakengelhardt/fix_backslash_smt2
N. Engelhardt [Mon, 28 Mar 2022 14:32:10 +0000 (16:32 +0200)]
Merge pull request #142 from nakengelhardt/fix_backslash_smt2

translate backslashes in cell names the same way as smt2 backend does

2 years agoMerge pull request #146 from jix/aim_vs_smt2_nonzero_start_offset
Miodrag Milanović [Sat, 26 Mar 2022 07:20:57 +0000 (08:20 +0100)]
Merge pull request #146 from jix/aim_vs_smt2_nonzero_start_offset

Test and fix signals with nonzero start offsets in aim files with smtbmc

2 years agodocument btor engine, add overview of mode/engine/solver combinations, remove unimple...
N. Engelhardt [Fri, 25 Mar 2022 17:01:09 +0000 (18:01 +0100)]
document btor engine, add overview of mode/engine/solver combinations, remove unimplemented modes

2 years agoTest signals with nonzero start offsets in aim files with smtbmc
Jannis Harder [Thu, 24 Mar 2022 12:12:25 +0000 (13:12 +0100)]
Test signals with nonzero start offsets in aim files with smtbmc

2 years agoUse `-no-startoffset`, avoiding index mismatch between aiger and smt2
Jannis Harder [Fri, 25 Mar 2022 10:38:22 +0000 (11:38 +0100)]
Use `-no-startoffset`, avoiding index mismatch between aiger and smt2

2 years agoInitial support for the new smtbmc --keep-going option
Jannis Harder [Mon, 21 Mar 2022 17:36:09 +0000 (18:36 +0100)]
Initial support for the new smtbmc --keep-going option

So far this only passes on the option and adjusts the trace_prefix to
support multiple numbered traces. Further changes are needed to
correctly associate individual traces with the assertions failing in
that trace.

2 years agojunit: handle multiple asserts failing with the same trace
N. Engelhardt [Tue, 22 Mar 2022 15:16:02 +0000 (16:16 +0100)]
junit: handle multiple asserts failing with the same trace

2 years agotranslate backslashes in cell names the same way as smt2 backend does
N. Engelhardt [Fri, 18 Mar 2022 15:36:41 +0000 (16:36 +0100)]
translate backslashes in cell names the same way as smt2 backend does

2 years agoMerge pull request #120 from ythoma/patch-1
Claire Xen [Tue, 15 Mar 2022 15:33:46 +0000 (16:33 +0100)]
Merge pull request #120 from ythoma/patch-1

Update install.rst

2 years agoMerge pull request #139 from nakengelhardt/housekeeping
N. Engelhardt [Tue, 15 Mar 2022 15:06:52 +0000 (16:06 +0100)]
Merge pull request #139 from nakengelhardt/housekeeping

housekeeping

2 years agoci housekeeping
N. Engelhardt [Tue, 15 Mar 2022 14:12:59 +0000 (15:12 +0100)]
ci housekeeping

2 years agoMerge pull request #133 from nakengelhardt/sby_junit
N. Engelhardt [Mon, 7 Mar 2022 15:25:47 +0000 (16:25 +0100)]
Merge pull request #133 from nakengelhardt/sby_junit

improve SBY JUnit report

2 years agofix ci
N. Engelhardt [Mon, 7 Mar 2022 07:34:01 +0000 (08:34 +0100)]
fix ci

2 years agoadd testcase for overall run result
N. Engelhardt [Thu, 24 Feb 2022 21:44:11 +0000 (22:44 +0100)]
add testcase for overall run result

2 years agovalidate junit files (with extra attributes added to schema)
N. Engelhardt [Tue, 22 Feb 2022 15:16:37 +0000 (16:16 +0100)]
validate junit files (with extra attributes added to schema)

2 years agofix induction
N. Engelhardt [Mon, 7 Feb 2022 21:01:52 +0000 (22:01 +0100)]
fix induction

2 years agofix junit error/failure/skipped count
N. Engelhardt [Mon, 7 Feb 2022 18:20:29 +0000 (19:20 +0100)]
fix junit error/failure/skipped count

2 years agohandle unreached cover properties
N. Engelhardt [Mon, 7 Feb 2022 14:29:36 +0000 (15:29 +0100)]
handle unreached cover properties

2 years agorefactor junit print into own function
N. Engelhardt [Mon, 7 Feb 2022 11:29:27 +0000 (12:29 +0100)]
refactor junit print into own function

2 years agohandle status of cover properties
N. Engelhardt [Sun, 6 Feb 2022 08:15:44 +0000 (09:15 +0100)]
handle status of cover properties

2 years agorefactor model to have single base
N. Engelhardt [Mon, 31 Jan 2022 11:35:56 +0000 (12:35 +0100)]
refactor model to have single base

2 years agoparse solver location output for assert failures (cover not functional yet)
N. Engelhardt [Thu, 27 Jan 2022 12:41:07 +0000 (13:41 +0100)]
parse solver location output for assert failures (cover not functional yet)

2 years agoadd fallback if solver can't tell which property fails
N. Engelhardt [Fri, 21 Jan 2022 14:18:53 +0000 (15:18 +0100)]
add fallback if solver can't tell which property fails

2 years agocreate json export and read in properties
N. Engelhardt [Wed, 19 Jan 2022 18:34:11 +0000 (19:34 +0100)]
create json export and read in properties

2 years agoWIP change junit print to conform to schema; needs additional data, currently printin...
N. Engelhardt [Tue, 7 Dec 2021 19:16:15 +0000 (20:16 +0100)]
WIP change junit print to conform to schema; needs additional data, currently printing dummy info

Signed-off-by: N. Engelhardt <nak@yosyshq.com>
2 years agoadd JUnit schema and validator
N. Engelhardt [Tue, 7 Dec 2021 19:14:06 +0000 (20:14 +0100)]
add JUnit schema and validator

Signed-off-by: N. Engelhardt <nak@yosyshq.com>
2 years agoMerge pull request #138 from YosysHQ/mmicko/ci
Miodrag Milanović [Wed, 12 Jan 2022 13:24:51 +0000 (14:24 +0100)]
Merge pull request #138 from YosysHQ/mmicko/ci

Added CI

2 years agoAdded CI
Miodrag Milanovic [Wed, 12 Jan 2022 13:18:17 +0000 (14:18 +0100)]
Added CI

2 years agoMerge pull request #136 from nakengelhardt/fix_pono
Miodrag Milanović [Wed, 12 Jan 2022 12:46:25 +0000 (13:46 +0100)]
Merge pull request #136 from nakengelhardt/fix_pono

use --witness option when calling pono

2 years agocreate only a single bad when using pono solver; workaround for #137
N. Engelhardt [Wed, 12 Jan 2022 12:18:54 +0000 (13:18 +0100)]
create only a single bad when using pono solver; workaround for #137

2 years agoadd testcase exposing #137
N. Engelhardt [Wed, 12 Jan 2022 10:06:05 +0000 (11:06 +0100)]
add testcase exposing #137

2 years agouse --witness option when calling pono
N. Engelhardt [Wed, 12 Jan 2022 09:48:32 +0000 (10:48 +0100)]
use --witness option when calling pono

2 years agoMerge pull request #135 from nakengelhardt/rename_task
N. Engelhardt [Wed, 12 Jan 2022 09:52:42 +0000 (10:52 +0100)]
Merge pull request #135 from nakengelhardt/rename_task

Rename SbyJob to SbyTask and SbyTask to SbyProc to reduce confusion

2 years agoMerge pull request #134 from nakengelhardt/advertise_suite_in_readme
N. Engelhardt [Tue, 11 Jan 2022 16:34:35 +0000 (17:34 +0100)]
Merge pull request #134 from nakengelhardt/advertise_suite_in_readme

mention tabby+oss cad suite in readme

2 years agoRename SbyJob to SbyTask and SbyTask to SbyProc to reduce confusion. Config file...
N. Engelhardt [Tue, 11 Jan 2022 15:12:23 +0000 (16:12 +0100)]
Rename SbyJob to SbyTask and SbyTask to SbyProc to reduce confusion. Config file tasks now correspond to SbyTasks.

2 years agomention tabby+oss cad suite in readme
N. Engelhardt [Tue, 4 Jan 2022 15:32:59 +0000 (16:32 +0100)]
mention tabby+oss cad suite in readme

2 years agoImprovements and cleanups in tasks handling
Claire Xenia Wolf [Sat, 18 Dec 2021 10:36:34 +0000 (11:36 +0100)]
Improvements and cleanups in tasks handling

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoFixed [tasks] section parsing
Claire Xenia Wolf [Fri, 17 Dec 2021 19:57:19 +0000 (20:57 +0100)]
Fixed [tasks] section parsing

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoUpdate README.md
Claire Xen [Fri, 17 Dec 2021 14:50:57 +0000 (15:50 +0100)]
Update README.md

2 years agoUpdate README.md
Claire Xen [Fri, 17 Dec 2021 14:48:01 +0000 (15:48 +0100)]
Update README.md

2 years agoAdd inductive invariants example
Claire Xenia Wolf [Fri, 17 Dec 2021 14:42:04 +0000 (15:42 +0100)]
Add inductive invariants example

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoAdd ":"-syntax for [tasks] section
Claire Xenia Wolf [Fri, 17 Dec 2021 14:36:35 +0000 (15:36 +0100)]
Add ":"-syntax for [tasks] section

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoUpdate docs theme
Claire Xenia Wolf [Tue, 30 Nov 2021 09:47:43 +0000 (10:47 +0100)]
Update docs theme

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoUpdate docs theme
Claire Xenia Wolf [Mon, 29 Nov 2021 15:51:54 +0000 (16:51 +0100)]
Update docs theme

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoupdate docs theme
Claire Xenia Wolf [Fri, 26 Nov 2021 19:34:55 +0000 (20:34 +0100)]
update docs theme

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoAdd support for directories in [files] section
Claire Xenia Wolf [Sun, 31 Oct 2021 13:43:02 +0000 (14:43 +0100)]
Add support for directories in [files] section

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoFixed names and links
Claire Xenia Wolf [Sun, 31 Oct 2021 13:42:39 +0000 (14:42 +0100)]
Fixed names and links

2 years agoMerge pull request #127 from christian-krieg/feat/update-docs_super-prove
Miodrag Milanović [Mon, 13 Sep 2021 14:21:18 +0000 (16:21 +0200)]
Merge pull request #127 from christian-krieg/feat/update-docs_super-prove

Updated install instructions for super_prove

2 years agoFix regression
Miodrag Milanovic [Wed, 25 Aug 2021 10:10:18 +0000 (12:10 +0200)]
Fix regression

2 years agoInitialize variable
Miodrag Milanovic [Wed, 25 Aug 2021 09:38:24 +0000 (11:38 +0200)]
Initialize variable

2 years agoMerge pull request #126 from piegamesde/master
Claire Xen [Mon, 23 Aug 2021 14:03:03 +0000 (16:03 +0200)]
Merge pull request #126 from piegamesde/master

Various improvements and fixes

2 years agoUpdated install instructions for super_prove
Christian Krieg [Tue, 20 Jul 2021 20:33:03 +0000 (22:33 +0200)]
Updated install instructions for super_prove

* Links were dead
* No binaries to download
* Updated with install information from super_prove github repository
* Augmented with additional commands to ease installation

2 years agofixup! Allow to set a working directory even when having multiple tasks
piegames [Thu, 8 Jul 2021 18:48:23 +0000 (20:48 +0200)]
fixup! Allow to set a working directory even when having multiple tasks

2 years agoBetter error message when tasks failed
piegames [Thu, 24 Jun 2021 22:03:05 +0000 (00:03 +0200)]
Better error message when tasks failed

2 years agoTurn .format() strings into f-strings
piegames [Thu, 24 Jun 2021 22:02:22 +0000 (00:02 +0200)]
Turn .format() strings into f-strings

2 years agoAllow to set a working directory even when having multiple tasks
piegames [Mon, 21 Jun 2021 20:32:29 +0000 (22:32 +0200)]
Allow to set a working directory even when having multiple tasks

Fixes #125.

2 years agoPrint paths as absolute
piegames [Mon, 21 Jun 2021 20:31:51 +0000 (22:31 +0200)]
Print paths as absolute

This generally makes debugging path issues easier.

2 years agoUpdate docs conf.py
Claire Xenia Wolf [Fri, 21 May 2021 01:36:11 +0000 (03:36 +0200)]
Update docs conf.py

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
2 years agoNew docs conf.py
Claire Xenia Wolf [Fri, 21 May 2021 01:31:50 +0000 (03:31 +0200)]
New docs conf.py

Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
3 years agoMake readme of abstraction example more tutorial-like
N. Engelhardt [Fri, 16 Apr 2021 13:54:51 +0000 (15:54 +0200)]
Make readme of abstraction example more tutorial-like

3 years agoMerge pull request #121 from YosysHQ/windows_fix
Miodrag Milanović [Mon, 22 Mar 2021 15:51:11 +0000 (16:51 +0100)]
Merge pull request #121 from YosysHQ/windows_fix

Windows specific fixes

3 years agoWindows specific fixes
Miodrag Milanovic [Mon, 22 Mar 2021 15:48:33 +0000 (16:48 +0100)]
Windows specific fixes

3 years agoUpdate conf.py
Claire Xen [Thu, 4 Mar 2021 15:49:31 +0000 (16:49 +0100)]
Update conf.py

3 years agoDelete symbiotic_logo.png
Claire Xen [Wed, 24 Feb 2021 16:52:54 +0000 (17:52 +0100)]
Delete symbiotic_logo.png

3 years agoUpdate conf.py
Claire Xen [Wed, 24 Feb 2021 16:48:13 +0000 (17:48 +0100)]
Update conf.py

3 years agoUpdate install.rst
ythoma [Fri, 5 Feb 2021 08:46:04 +0000 (09:46 +0100)]
Update install.rst

On Ubuntu 20.04, I had to install curl as well:
sudo apt install curl
I guess that would be the same on other setups.

3 years agoFix syntax errors
Miodrag Milanovic [Tue, 26 Jan 2021 08:09:43 +0000 (09:09 +0100)]
Fix syntax errors

3 years agoExtract installation procedure to separate file
Miodrag Milanovic [Fri, 23 Oct 2020 12:03:55 +0000 (14:03 +0200)]
Extract installation procedure to separate file

3 years agocopyright
Matt Venn [Thu, 15 Oct 2020 16:02:03 +0000 (18:02 +0200)]
copyright

3 years agologo and links
Matt Venn [Thu, 15 Oct 2020 15:02:52 +0000 (17:02 +0200)]
logo and links

3 years agoMerge pull request #116 from nakengelhardt/sed_mac
N. Engelhardt [Mon, 12 Oct 2020 11:01:15 +0000 (13:01 +0200)]
Merge pull request #116 from nakengelhardt/sed_mac

make install: mac OS compatible sed usage

3 years agosed -i option is not posix, and argument syntax is incompatible between mac and linux...
N. Engelhardt [Fri, 9 Oct 2020 09:41:18 +0000 (11:41 +0200)]
sed -i option is not posix, and argument syntax is incompatible between mac and linux. use redirects instead

3 years agoMerge pull request #115 from nakengelhardt/rename_test
Miodrag Milanović [Fri, 11 Sep 2020 14:28:32 +0000 (16:28 +0200)]
Merge pull request #115 from nakengelhardt/rename_test

rename make test to make ci