add helper routine for creating latched registers
[ieee754fpu.git] / src / nmutil / latch.py
2019-05-11 Luke Kenneth Casso... add helper routine for creating latched registers
2019-05-11 Luke Kenneth Casso... add a jk latch (as a comment), TODO
2019-05-05 Luke Kenneth Casso... slightly simplify SRlatch
2019-05-05 Luke Kenneth Casso... add SRlatch async mode
2019-05-04 Luke Kenneth Casso... minor reorg of latch
2019-05-03 Luke Kenneth Casso... add (synchronous) latch