axi4: switch to new pipelined converters
[sifive-blocks.git] / src / main / scala / devices / xilinxvc707mig / XilinxVC707MIG.scala
1 // See LICENSE for license details.
2 package sifive.blocks.devices.xilinxvc707mig
3
4 import Chisel._
5 import chisel3.experimental.{Analog,attach}
6 import config._
7 import diplomacy._
8 import uncore.tilelink2._
9 import uncore.axi4._
10 import rocketchip._
11 import sifive.blocks.ip.xilinx.vc707mig.{VC707MIGIOClocksReset, VC707MIGIODDR, vc707mig}
12
13 trait HasXilinxVC707MIGParameters {
14 }
15
16 class XilinxVC707MIGPads extends Bundle with VC707MIGIODDR
17
18 class XilinxVC707MIGIO extends Bundle with VC707MIGIODDR
19 with VC707MIGIOClocksReset
20
21 class XilinxVC707MIG(implicit p: Parameters) extends LazyModule with HasXilinxVC707MIGParameters {
22 val device = new MemoryDevice
23 val node = TLInputNode()
24 val axi4 = AXI4InternalOutputNode(Seq(AXI4SlavePortParameters(
25 slaves = Seq(AXI4SlaveParameters(
26 address = Seq(AddressSet(p(ExtMem).base, p(ExtMem).size-1)),
27 resources = device.reg,
28 regionType = RegionType.UNCACHED,
29 executable = true,
30 supportsWrite = TransferSizes(1, 256*8),
31 supportsRead = TransferSizes(1, 256*8))),
32 beatBytes = 8)))
33
34 val xing = LazyModule(new TLAsyncCrossing)
35 val toaxi4 = LazyModule(new TLToAXI4(beatBytes = 8))
36 val indexer = LazyModule(new AXI4IdIndexer(idBits = 4))
37 val deint = LazyModule(new AXI4Deinterleaver(p(coreplex.CacheBlockBytes)))
38 val yank = LazyModule(new AXI4UserYanker)
39
40 xing.node := node
41 val monitor = (toaxi4.node := xing.node)
42 axi4 := yank.node
43 yank.node := deint.node
44 deint.node := indexer.node
45 indexer.node := toaxi4.node
46
47 lazy val module = new LazyModuleImp(this) {
48 val io = new Bundle {
49 val port = new XilinxVC707MIGIO
50 val tl = node.bundleIn
51 }
52
53 //MIG black box instantiation
54 val blackbox = Module(new vc707mig)
55
56 //pins to top level
57
58 //inouts
59 attach(io.port.ddr3_dq,blackbox.io.ddr3_dq)
60 attach(io.port.ddr3_dqs_n,blackbox.io.ddr3_dqs_n)
61 attach(io.port.ddr3_dqs_p,blackbox.io.ddr3_dqs_p)
62
63 //outputs
64 io.port.ddr3_addr := blackbox.io.ddr3_addr
65 io.port.ddr3_ba := blackbox.io.ddr3_ba
66 io.port.ddr3_ras_n := blackbox.io.ddr3_ras_n
67 io.port.ddr3_cas_n := blackbox.io.ddr3_cas_n
68 io.port.ddr3_we_n := blackbox.io.ddr3_we_n
69 io.port.ddr3_reset_n := blackbox.io.ddr3_reset_n
70 io.port.ddr3_ck_p := blackbox.io.ddr3_ck_p
71 io.port.ddr3_ck_n := blackbox.io.ddr3_ck_n
72 io.port.ddr3_cke := blackbox.io.ddr3_cke
73 io.port.ddr3_cs_n := blackbox.io.ddr3_cs_n
74 io.port.ddr3_dm := blackbox.io.ddr3_dm
75 io.port.ddr3_odt := blackbox.io.ddr3_odt
76
77 //inputs
78 //differential system clock
79 blackbox.io.sys_clk_n := io.port.sys_clk_n
80 blackbox.io.sys_clk_p := io.port.sys_clk_p
81
82 //user interface signals
83 val axi_async = axi4.bundleIn(0)
84 xing.module.io.in_clock := clock
85 xing.module.io.in_reset := reset
86 xing.module.io.out_clock := blackbox.io.ui_clk
87 xing.module.io.out_reset := blackbox.io.ui_clk_sync_rst
88 (Seq(toaxi4, indexer, deint, yank) ++ monitor) foreach { lm =>
89 lm.module.clock := blackbox.io.ui_clk
90 lm.module.reset := blackbox.io.ui_clk_sync_rst
91 }
92
93 io.port.ui_clk := blackbox.io.ui_clk
94 io.port.ui_clk_sync_rst := blackbox.io.ui_clk_sync_rst
95 io.port.mmcm_locked := blackbox.io.mmcm_locked
96 blackbox.io.aresetn := io.port.aresetn
97 blackbox.io.app_sr_req := Bool(false)
98 blackbox.io.app_ref_req := Bool(false)
99 blackbox.io.app_zq_req := Bool(false)
100 //app_sr_active := unconnected
101 //app_ref_ack := unconnected
102 //app_zq_ack := unconnected
103
104 //slave AXI interface write address ports
105 blackbox.io.s_axi_awid := axi_async.aw.bits.id
106 blackbox.io.s_axi_awaddr := axi_async.aw.bits.addr //truncation ??
107 blackbox.io.s_axi_awlen := axi_async.aw.bits.len
108 blackbox.io.s_axi_awsize := axi_async.aw.bits.size
109 blackbox.io.s_axi_awburst := axi_async.aw.bits.burst
110 blackbox.io.s_axi_awlock := axi_async.aw.bits.lock
111 blackbox.io.s_axi_awcache := UInt("b0011")
112 blackbox.io.s_axi_awprot := axi_async.aw.bits.prot
113 blackbox.io.s_axi_awqos := axi_async.aw.bits.qos
114 blackbox.io.s_axi_awvalid := axi_async.aw.valid
115 axi_async.aw.ready := blackbox.io.s_axi_awready
116
117 //slave interface write data ports
118 blackbox.io.s_axi_wdata := axi_async.w.bits.data
119 blackbox.io.s_axi_wstrb := axi_async.w.bits.strb
120 blackbox.io.s_axi_wlast := axi_async.w.bits.last
121 blackbox.io.s_axi_wvalid := axi_async.w.valid
122 axi_async.w.ready := blackbox.io.s_axi_wready
123
124 //slave interface write response
125 blackbox.io.s_axi_bready := axi_async.b.ready
126 axi_async.b.bits.id := blackbox.io.s_axi_bid
127 axi_async.b.bits.resp := blackbox.io.s_axi_bresp
128 axi_async.b.valid := blackbox.io.s_axi_bvalid
129
130 //slave AXI interface read address ports
131 blackbox.io.s_axi_arid := axi_async.ar.bits.id
132 blackbox.io.s_axi_araddr := axi_async.ar.bits.addr //truncation ??
133 blackbox.io.s_axi_arlen := axi_async.ar.bits.len
134 blackbox.io.s_axi_arsize := axi_async.ar.bits.size
135 blackbox.io.s_axi_arburst := axi_async.ar.bits.burst
136 blackbox.io.s_axi_arlock := axi_async.ar.bits.lock
137 blackbox.io.s_axi_arcache := UInt("b0011")
138 blackbox.io.s_axi_arprot := axi_async.ar.bits.prot
139 blackbox.io.s_axi_arqos := axi_async.ar.bits.qos
140 blackbox.io.s_axi_arvalid := axi_async.ar.valid
141 axi_async.ar.ready := blackbox.io.s_axi_arready
142
143 //slace AXI interface read data ports
144 blackbox.io.s_axi_rready := axi_async.r.ready
145 axi_async.r.bits.id := blackbox.io.s_axi_rid
146 axi_async.r.bits.data := blackbox.io.s_axi_rdata
147 axi_async.r.bits.resp := blackbox.io.s_axi_rresp
148 axi_async.r.bits.last := blackbox.io.s_axi_rlast
149 axi_async.r.valid := blackbox.io.s_axi_rvalid
150
151 //misc
152 io.port.init_calib_complete := blackbox.io.init_calib_complete
153 blackbox.io.sys_rst :=io.port.sys_rst
154 //mig.device_temp :- unconnceted
155 }
156 }