attempting to introduce an extra few clock cycles delay on power-up
[soc.git] / src / soc / simple / issuer.py
index 958e3f11a99d43f1a6ef520c17e5336af9c9f300..cfad831a3fa76a92c71464fbd95dc0554b8328d0 100644 (file)
@@ -307,6 +307,8 @@ class TestIssuerBase(Elaboratable):
         # hack method of keeping an eye on whether branch/trap set the PC
         self.state_nia = self.core.regs.rf['state'].w_ports['nia']
         self.state_nia.wen.name = 'state_nia_wen'
+        # and whether SPR pipeline sets DEC or TB
+        self.state_spr = self.core.regs.rf['state'].w_ports['state1']
 
         # pulse to synchronize the simulator at instruction end
         self.insn_done = Signal()
@@ -398,10 +400,6 @@ class TestIssuerBase(Elaboratable):
                 m.submodules["sram4k_%d" % i] = csd(sram)
                 comb += sram.enable.eq(self.wb_sram_en)
 
-        # terrible hack to stop a potential race condition.  if core
-        # is doing any operation (at all) pause the DEC/TB FSM
-        comb += self.pause_dec_tb.eq(core.pause_dec_tb)
-
         # XICS interrupt handler
         if self.xics:
             m.submodules.xics_icp = icp = csd(self.xics_icp)
@@ -438,10 +436,14 @@ class TestIssuerBase(Elaboratable):
             dbg_sync = ClockDomain(self.dbg_domain)
             m.domains += dbg_sync
 
+        # create a delay, but remember it is in the power-on-reset clock domain!
         ti_rst = Signal(reset_less=True)
         delay = Signal(range(4), reset=3)
+        stop_delay = Signal(range(16), reset=5)
         with m.If(delay != 0):
-            m.d.por += delay.eq(delay - 1)
+            m.d.por += delay.eq(delay - 1) # decrement... in POR domain!
+        with m.If(stop_delay != 0):
+            m.d.por += stop_delay.eq(stop_delay - 1) # likewise
         comb += cd_por.clk.eq(ClockSignal())
 
         # power-on reset delay
@@ -452,6 +454,9 @@ class TestIssuerBase(Elaboratable):
         else:
             with m.If(delay != 0 | dbg.core_rst_o):
                 comb += core_rst.eq(1)
+        with m.If(stop_delay != 0):
+            # run DMI core-stop as well but on an extra couple of cycles
+            comb += dbg.core_stopped_i.eq(1)
 
         # connect external reset signal to DMI Reset
         if self.dbg_domain != "sync":
@@ -464,12 +469,13 @@ class TestIssuerBase(Elaboratable):
         comb += pdecode2.dec.bigendian.eq(self.core_bigendian_i)
 
         # temporary hack: says "go" immediately for both address gen and ST
+        # XXX: st.go_i is set to 1 cycle delay to reduce combinatorial chains
         l0 = core.l0
         ldst = core.fus.fus['ldst0']
         st_go_edge = rising_edge(m, ldst.st.rel_o)
         # link addr-go direct to rel
         m.d.comb += ldst.ad.go_i.eq(ldst.ad.rel_o)
-        m.d.comb += ldst.st.go_i.eq(st_go_edge)  # link store-go to rising rel
+        m.d.sync += ldst.st.go_i.eq(st_go_edge)  # link store-go to rising rel
 
     def do_dmi(self, m, dbg):
         """deals with DMI debug requests
@@ -541,20 +547,19 @@ class TestIssuerBase(Elaboratable):
         value to DEC, however the regfile has "passthrough" on it so this
         *should* be ok.
 
-        see v3.0B p1097-1099 for Timeer Resource and p1065 and p1076
+        see v3.0B p1097-1099 for Timer Resource and p1065 and p1076
         """
 
         comb, sync = m.d.comb, m.d.sync
-        fast_rf = self.core.regs.rf['fast']
-        fast_r_dectb = fast_rf.r_ports['issue']  # DEC/TB
-        fast_w_dectb = fast_rf.w_ports['issue']  # DEC/TB
+        state_rf = self.core.regs.rf['state']
+        state_r_dectb = state_rf.r_ports['issue']  # DEC/TB
+        state_w_dectb = state_rf.w_ports['issue']  # DEC/TB
 
         with m.FSM() as fsm:
 
             # initiates read of current DEC
             with m.State("DEC_READ"):
-                comb += fast_r_dectb.addr.eq(FastRegs.DEC)
-                comb += fast_r_dectb.ren.eq(1)
+                comb += state_r_dectb.ren.eq(1<<StateRegs.DEC)
                 with m.If(~self.pause_dec_tb):
                     m.next = "DEC_WRITE"
 
@@ -567,18 +572,16 @@ class TestIssuerBase(Elaboratable):
                 with m.Else():
                     new_dec = Signal(64)
                     # TODO: MSR.LPCR 32-bit decrement mode
-                    comb += new_dec.eq(fast_r_dectb.o_data - 1)
-                    comb += fast_w_dectb.addr.eq(FastRegs.DEC)
-                    comb += fast_w_dectb.wen.eq(1)
-                    comb += fast_w_dectb.i_data.eq(new_dec)
+                    comb += new_dec.eq(state_r_dectb.o_data - 1)
+                    comb += state_w_dectb.wen.eq(1<<StateRegs.DEC)
+                    comb += state_w_dectb.i_data.eq(new_dec)
                     # copy to cur_state for decoder, for an interrupt
                     sync += spr_dec.eq(new_dec)
                     m.next = "TB_READ"
 
             # initiates read of current TB
             with m.State("TB_READ"):
-                comb += fast_r_dectb.addr.eq(FastRegs.TB)
-                comb += fast_r_dectb.ren.eq(1)
+                comb += state_r_dectb.ren.eq(1<<StateRegs.TB)
                 with m.If(~self.pause_dec_tb):
                     m.next = "TB_WRITE"
 
@@ -590,10 +593,9 @@ class TestIssuerBase(Elaboratable):
                     m.next = "TB_READ"
                 with m.Else():
                     new_tb = Signal(64)
-                    comb += new_tb.eq(fast_r_dectb.o_data + 1)
-                    comb += fast_w_dectb.addr.eq(FastRegs.TB)
-                    comb += fast_w_dectb.wen.eq(1)
-                    comb += fast_w_dectb.i_data.eq(new_tb)
+                    comb += new_tb.eq(state_r_dectb.o_data + 1)
+                    comb += state_w_dectb.wen.eq(1<<StateRegs.TB)
+                    comb += state_w_dectb.i_data.eq(new_tb)
                     m.next = "DEC_READ"
 
         return m
@@ -820,6 +822,12 @@ class TestIssuerInternal(TestIssuerBase):
 
         with m.FSM(name='fetch_fsm'):
 
+            # allow fetch to not run at startup due to I-Cache reset not
+            # having time to settle.  power-on-reset holds dbg.core_stopped_i
+            with m.State("PRE_IDLE"):
+                with m.If(~dbg.core_stopped_i & ~dbg.core_stop_o):
+                    m.next = "IDLE"
+
             # waiting (zzz)
             with m.State("IDLE"):
                 # fetch allowed if not failed and stopped but not stepping
@@ -1477,15 +1485,18 @@ class TestIssuerInternal(TestIssuerBase):
 
             # instruction started: must wait till it finishes
             with m.State("INSN_ACTIVE"):
-                # note changes to MSR, PC and SVSTATE
-                # XXX oops, really must monitor *all* State Regfile write
-                # ports looking for changes!
+                # note changes to MSR, PC and SVSTATE, and DEC/TB
+                # these last two are done together, and passed to the
+                # DEC/TB FSM
                 with m.If(self.state_nia.wen & (1 << StateRegs.SVSTATE)):
                     sync += self.sv_changed.eq(1)
                 with m.If(self.state_nia.wen & (1 << StateRegs.MSR)):
                     sync += self.msr_changed.eq(1)
                 with m.If(self.state_nia.wen & (1 << StateRegs.PC)):
                     sync += self.pc_changed.eq(1)
+                with m.If((self.state_spr.wen &
+                          ((1 << StateRegs.DEC) | (1 << StateRegs.TB))).bool()):
+                    comb += self.pause_dec_tb.eq(1)
                 with m.If(~core_busy_o):  # instruction done!
                     comb += exec_pc_o_valid.eq(1)
                     with m.If(exec_pc_i_ready):