add instructions for using iverilog