add comments on parameters
[ieee754fpu.git] / src / ieee754 / fpcommon / denorm.py
1 # IEEE Floating Point Adder (Single Precision)
2 # Copyright (C) Jonathan P Dawson 2013
3 # 2013-12-12
4
5 from nmigen import Module, Signal, Elaboratable
6 from nmigen.cli import main, verilog
7 from math import log
8
9 from ieee754.fpcommon.fpbase import FPNumIn, FPNumOut, FPNumBaseRecord
10 from ieee754.fpcommon.fpbase import FPState, FPNumBase
11
12
13 class FPSCData:
14
15 def __init__(self, width, id_wid, m_extra=True):
16
17 # NOTE: difference between z and oz is that oz is created by
18 # special-cases module(s) and will propagate, along with its
19 # "bypass" signal out_do_z, through the pipeline, *disabling*
20 # all processing of all subsequent stages.
21 self.a = FPNumBaseRecord(width, m_extra) # operand a
22 self.b = FPNumBaseRecord(width, m_extra) # operand b
23 self.z = FPNumBaseRecord(width, False) # denormed result
24 self.oz = Signal(width, reset_less=True) # "finished" (bypass) result
25 self.out_do_z = Signal(reset_less=True) # "bypass" enabled
26 self.mid = Signal(id_wid, reset_less=True) # multiplexer ID
27
28 def __iter__(self):
29 yield from self.a
30 yield from self.b
31 yield from self.z
32 yield self.oz
33 yield self.out_do_z
34 yield self.mid
35
36 def eq(self, i):
37 return [self.z.eq(i.z), self.out_do_z.eq(i.out_do_z), self.oz.eq(i.oz),
38 self.a.eq(i.a), self.b.eq(i.b), self.mid.eq(i.mid)]
39
40
41 class FPAddDeNormMod(FPState, Elaboratable):
42
43 def __init__(self, width, id_wid, m_extra=True):
44 self.width = width
45 self.id_wid = id_wid
46 self.m_extra = m_extra
47 self.i = self.ispec()
48 self.o = self.ospec()
49
50 def ispec(self):
51 return FPSCData(self.width, self.id_wid, self.m_extra)
52
53 def ospec(self):
54 return FPSCData(self.width, self.id_wid, self.m_extra)
55
56 def process(self, i):
57 return self.o
58
59 def setup(self, m, i):
60 """ links module to inputs and outputs
61 """
62 m.submodules.denormalise = self
63 m.d.comb += self.i.eq(i)
64
65 def elaborate(self, platform):
66 m = Module()
67 m.submodules.denorm_in_a = in_a = FPNumBase(self.i.a)
68 m.submodules.denorm_in_b = in_b = FPNumBase(self.i.b)
69 #m.submodules.denorm_out_a = self.o.a
70 #m.submodules.denorm_out_b = self.o.b
71 #m.submodules.denorm_out_z = self.o.z
72
73 with m.If(~self.i.out_do_z):
74 # XXX hmmm, don't like repeating identical code
75 m.d.comb += self.o.a.eq(self.i.a)
76 with m.If(in_a.exp_n127):
77 m.d.comb += self.o.a.e.eq(self.i.a.N126) # limit a exponent
78 with m.Else():
79 m.d.comb += self.o.a.m[-1].eq(1) # set top mantissa bit
80
81 m.d.comb += self.o.b.eq(self.i.b)
82 with m.If(in_b.exp_n127):
83 m.d.comb += self.o.b.e.eq(self.i.b.N126) # limit a exponent
84 with m.Else():
85 m.d.comb += self.o.b.m[-1].eq(1) # set top mantissa bit
86
87 m.d.comb += self.o.mid.eq(self.i.mid)
88 m.d.comb += self.o.z.eq(self.i.z)
89 m.d.comb += self.o.out_do_z.eq(self.i.out_do_z)
90 m.d.comb += self.o.oz.eq(self.i.oz)
91
92 return m
93
94
95 class FPAddDeNorm(FPState):
96
97 def __init__(self, width, id_wid):
98 FPState.__init__(self, "denormalise")
99 self.mod = FPAddDeNormMod(width)
100 self.out_a = FPNumBaseRecord(width)
101 self.out_b = FPNumBaseRecord(width)
102
103 def setup(self, m, i):
104 """ links module to inputs and outputs
105 """
106 self.mod.setup(m, i)
107
108 m.d.sync += self.out_a.eq(self.mod.out_a)
109 m.d.sync += self.out_b.eq(self.mod.out_b)
110
111 def action(self, m):
112 # Denormalised Number checks
113 m.next = "align"
114
115