add more fpsqrt specialcases
[ieee754fpu.git] / src / ieee754 / fpdiv / specialcases.py
1 # IEEE Floating Point Multiplier
2
3 from nmigen import Module, Signal, Cat, Const, Elaboratable
4 from nmigen.cli import main, verilog
5 from math import log
6
7 from ieee754.fpcommon.fpbase import FPNumDecode, FPNumBaseRecord
8 from nmutil.singlepipe import SimpleHandshake, StageChain
9
10 from ieee754.fpcommon.fpbase import FPState, FPID
11 from ieee754.fpcommon.getop import FPADDBaseData
12 from ieee754.fpcommon.denorm import (FPSCData, FPAddDeNormMod)
13 from ieee754.fpmul.align import FPAlignModSingle
14
15
16 class FPDIVSpecialCasesMod(Elaboratable):
17 """ special cases: NaNs, infs, zeros, denormalised
18 see "Special Operations"
19 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
20 """
21
22 def __init__(self, pspec):
23 self.pspec = pspec
24 self.i = self.ispec()
25 self.o = self.ospec()
26
27 def ispec(self):
28 return FPADDBaseData(self.pspec)
29
30 def ospec(self):
31 return FPSCData(self.pspec, False)
32
33 def setup(self, m, i):
34 """ links module to inputs and outputs
35 """
36 m.submodules.specialcases = self
37 m.d.comb += self.i.eq(i)
38
39 def process(self, i):
40 return self.o
41
42 def elaborate(self, platform):
43 m = Module()
44
45 #m.submodules.sc_out_z = self.o.z
46
47 # decode: XXX really should move to separate stage
48 a1 = FPNumBaseRecord(self.pspec.width, False)
49 b1 = FPNumBaseRecord(self.pspec.width, False)
50 m.submodules.sc_decode_a = a1 = FPNumDecode(None, a1)
51 m.submodules.sc_decode_b = b1 = FPNumDecode(None, b1)
52 m.d.comb += [a1.v.eq(self.i.a),
53 b1.v.eq(self.i.b),
54 self.o.a.eq(a1),
55 self.o.b.eq(b1)
56 ]
57
58 sabx = Signal(reset_less=True) # sign a xor b (sabx, get it?)
59 m.d.comb += sabx.eq(a1.s ^ b1.s)
60
61 abnan = Signal(reset_less=True)
62 m.d.comb += abnan.eq(a1.is_nan | b1.is_nan)
63
64 abinf = Signal(reset_less=True)
65 m.d.comb += abinf.eq(a1.is_inf & b1.is_inf)
66
67 with m.If(self.i.ctx.op == 0): # DIV
68 # if a is NaN or b is NaN return NaN
69 with m.If(abnan):
70 m.d.comb += self.o.out_do_z.eq(1)
71 m.d.comb += self.o.z.nan(0)
72
73 # if a is inf and b is Inf return NaN
74 with m.Elif(abinf):
75 m.d.comb += self.o.out_do_z.eq(1)
76 m.d.comb += self.o.z.nan(0)
77
78 # if a is inf return inf
79 with m.Elif(a1.is_inf):
80 m.d.comb += self.o.out_do_z.eq(1)
81 m.d.comb += self.o.z.inf(sabx)
82
83 # if b is inf return zero
84 with m.Elif(b1.is_inf):
85 m.d.comb += self.o.out_do_z.eq(1)
86 m.d.comb += self.o.z.zero(sabx)
87
88 # if a is zero return zero (or NaN if b is zero)
89 with m.Elif(a1.is_zero):
90 m.d.comb += self.o.out_do_z.eq(1)
91 m.d.comb += self.o.z.zero(sabx)
92 # b is zero return NaN
93 with m.If(b1.is_zero):
94 m.d.comb += self.o.z.nan(0)
95
96 # if b is zero return Inf
97 with m.Elif(b1.is_zero):
98 m.d.comb += self.o.out_do_z.eq(1)
99 m.d.comb += self.o.z.inf(sabx)
100
101 # Denormalised Number checks next, so pass a/b data through
102 with m.Else():
103 m.d.comb += self.o.out_do_z.eq(0)
104
105 with m.If(self.i.ctx.op == 1): # SQRT
106
107 # -ve number is NaN
108 with m.If(a1.s):
109 m.d.comb += self.o.out_do_z.eq(1)
110 m.d.comb += self.o.z.nan(0)
111
112 # if a is inf return inf
113 with m.Elif(a1.is_inf):
114 m.d.comb += self.o.out_do_z.eq(1)
115 m.d.comb += self.o.z.inf(sabx)
116
117 # if a is NaN return NaN
118 with m.Elif(a1.is_nan):
119 m.d.comb += self.o.out_do_z.eq(1)
120 m.d.comb += self.o.z.nan(0)
121
122 # if a is zero return zero
123 with m.Elif(a1.is_zero):
124 m.d.comb += self.o.out_do_z.eq(1)
125 m.d.comb += self.o.z.zero(0)
126
127 # Denormalised Number checks next, so pass a/b data through
128 with m.Else():
129 m.d.comb += self.o.out_do_z.eq(0)
130
131
132 m.d.comb += self.o.oz.eq(self.o.z.v)
133 m.d.comb += self.o.ctx.eq(self.i.ctx)
134
135 return m
136
137
138 class FPDIVSpecialCases(FPState):
139 """ special cases: NaNs, infs, zeros, denormalised
140 NOTE: some of these are unique to div. see "Special Operations"
141 https://steve.hollasch.net/cgindex/coding/ieeefloat.html
142 """
143
144 def __init__(self, pspec):
145 FPState.__init__(self, "special_cases")
146 self.mod = FPDIVSpecialCasesMod(pspec)
147 self.out_z = self.mod.ospec()
148 self.out_do_z = Signal(reset_less=True)
149
150 def setup(self, m, i):
151 """ links module to inputs and outputs
152 """
153 self.mod.setup(m, i, self.out_do_z)
154 m.d.sync += self.out_z.v.eq(self.mod.out_z.v) # only take the output
155 m.d.sync += self.out_z.mid.eq(self.mod.o.mid) # (and mid)
156
157 def action(self, m):
158 self.idsync(m)
159 with m.If(self.out_do_z):
160 m.next = "put_z"
161 with m.Else():
162 m.next = "denormalise"
163
164
165 class FPDIVSpecialCasesDeNorm(FPState, SimpleHandshake):
166 """ special cases: NaNs, infs, zeros, denormalised
167 """
168
169 def __init__(self, pspec):
170 FPState.__init__(self, "special_cases")
171 self.pspec = pspec
172 SimpleHandshake.__init__(self, self) # pipe is its own stage
173 self.out = self.ospec()
174
175 def ispec(self):
176 return FPADDBaseData(self.pspec) # SpecialCases ispec
177
178 def ospec(self):
179 return FPSCData(self.pspec, False) # Align ospec
180
181 def setup(self, m, i):
182 """ links module to inputs and outputs
183 """
184 smod = FPDIVSpecialCasesMod(self.pspec)
185 dmod = FPAddDeNormMod(self.pspec, False)
186 amod = FPAlignModSingle(self.pspec, False)
187
188 chain = StageChain([smod, dmod, amod])
189 chain.setup(m, i)
190
191 # only needed for break-out (early-out)
192 # self.out_do_z = smod.o.out_do_z
193
194 self.o = amod.o
195
196 def process(self, i):
197 return self.o
198
199 def action(self, m):
200 # for break-out (early-out)
201 #with m.If(self.out_do_z):
202 # m.next = "put_z"
203 #with m.Else():
204 m.d.sync += self.out.eq(self.process(None))
205 m.next = "align"
206
207