Revert "make variables local"
[ieee754fpu.git] / src / ieee754 / part_mul_add / multiply.py
1 # SPDX-License-Identifier: LGPL-2.1-or-later
2 # See Notices.txt for copyright information
3 """Integer Multiplication."""
4
5 from nmigen import Signal, Module, Value, Elaboratable, Cat, C, Mux, Repl
6 from nmigen.hdl.ast import Assign
7 from abc import ABCMeta, abstractmethod
8 from nmigen.cli import main
9 from functools import reduce
10 from operator import or_
11
12 class PartitionPoints(dict):
13 """Partition points and corresponding ``Value``s.
14
15 The points at where an ALU is partitioned along with ``Value``s that
16 specify if the corresponding partition points are enabled.
17
18 For example: ``{1: True, 5: True, 10: True}`` with
19 ``width == 16`` specifies that the ALU is split into 4 sections:
20 * bits 0 <= ``i`` < 1
21 * bits 1 <= ``i`` < 5
22 * bits 5 <= ``i`` < 10
23 * bits 10 <= ``i`` < 16
24
25 If the partition_points were instead ``{1: True, 5: a, 10: True}``
26 where ``a`` is a 1-bit ``Signal``:
27 * If ``a`` is asserted:
28 * bits 0 <= ``i`` < 1
29 * bits 1 <= ``i`` < 5
30 * bits 5 <= ``i`` < 10
31 * bits 10 <= ``i`` < 16
32 * Otherwise
33 * bits 0 <= ``i`` < 1
34 * bits 1 <= ``i`` < 10
35 * bits 10 <= ``i`` < 16
36 """
37
38 def __init__(self, partition_points=None):
39 """Create a new ``PartitionPoints``.
40
41 :param partition_points: the input partition points to values mapping.
42 """
43 super().__init__()
44 if partition_points is not None:
45 for point, enabled in partition_points.items():
46 if not isinstance(point, int):
47 raise TypeError("point must be a non-negative integer")
48 if point < 0:
49 raise ValueError("point must be a non-negative integer")
50 self[point] = Value.wrap(enabled)
51
52 def like(self, name=None, src_loc_at=0):
53 """Create a new ``PartitionPoints`` with ``Signal``s for all values.
54
55 :param name: the base name for the new ``Signal``s.
56 """
57 if name is None:
58 name = Signal(src_loc_at=1+src_loc_at).name # get variable name
59 retval = PartitionPoints()
60 for point, enabled in self.items():
61 retval[point] = Signal(enabled.shape(), name=f"{name}_{point}")
62 return retval
63
64 def eq(self, rhs):
65 """Assign ``PartitionPoints`` using ``Signal.eq``."""
66 if set(self.keys()) != set(rhs.keys()):
67 raise ValueError("incompatible point set")
68 for point, enabled in self.items():
69 yield enabled.eq(rhs[point])
70
71 def as_mask(self, width):
72 """Create a bit-mask from `self`.
73
74 Each bit in the returned mask is clear only if the partition point at
75 the same bit-index is enabled.
76
77 :param width: the bit width of the resulting mask
78 """
79 bits = []
80 for i in range(width):
81 if i in self:
82 bits.append(~self[i])
83 else:
84 bits.append(True)
85 return Cat(*bits)
86
87 def get_max_partition_count(self, width):
88 """Get the maximum number of partitions.
89
90 Gets the number of partitions when all partition points are enabled.
91 """
92 retval = 1
93 for point in self.keys():
94 if point < width:
95 retval += 1
96 return retval
97
98 def fits_in_width(self, width):
99 """Check if all partition points are smaller than `width`."""
100 for point in self.keys():
101 if point >= width:
102 return False
103 return True
104
105
106 class FullAdder(Elaboratable):
107 """Full Adder.
108
109 :attribute in0: the first input
110 :attribute in1: the second input
111 :attribute in2: the third input
112 :attribute sum: the sum output
113 :attribute carry: the carry output
114 """
115
116 def __init__(self, width):
117 """Create a ``FullAdder``.
118
119 :param width: the bit width of the input and output
120 """
121 self.in0 = Signal(width)
122 self.in1 = Signal(width)
123 self.in2 = Signal(width)
124 self.sum = Signal(width)
125 self.carry = Signal(width)
126
127 def elaborate(self, platform):
128 """Elaborate this module."""
129 m = Module()
130 m.d.comb += self.sum.eq(self.in0 ^ self.in1 ^ self.in2)
131 m.d.comb += self.carry.eq((self.in0 & self.in1)
132 | (self.in1 & self.in2)
133 | (self.in2 & self.in0))
134 return m
135
136
137 class PartitionedAdder(Elaboratable):
138 """Partitioned Adder.
139
140 :attribute width: the bit width of the input and output. Read-only.
141 :attribute a: the first input to the adder
142 :attribute b: the second input to the adder
143 :attribute output: the sum output
144 :attribute partition_points: the input partition points. Modification not
145 supported, except for by ``Signal.eq``.
146 """
147
148 def __init__(self, width, partition_points):
149 """Create a ``PartitionedAdder``.
150
151 :param width: the bit width of the input and output
152 :param partition_points: the input partition points
153 """
154 self.width = width
155 self.a = Signal(width)
156 self.b = Signal(width)
157 self.output = Signal(width)
158 self.partition_points = PartitionPoints(partition_points)
159 if not self.partition_points.fits_in_width(width):
160 raise ValueError("partition_points doesn't fit in width")
161 expanded_width = 0
162 for i in range(self.width):
163 if i in self.partition_points:
164 expanded_width += 1
165 expanded_width += 1
166 self._expanded_width = expanded_width
167 self._expanded_a = Signal(expanded_width)
168 self._expanded_b = Signal(expanded_width)
169 self._expanded_output = Signal(expanded_width)
170
171 def elaborate(self, platform):
172 """Elaborate this module."""
173 m = Module()
174 expanded_index = 0
175 # store bits in a list, use Cat later. graphviz is much cleaner
176 al = []
177 bl = []
178 ol = []
179 ea = []
180 eb = []
181 eo = []
182 # partition points are "breaks" (extra zeros) in what would otherwise
183 # be a massive long add.
184 for i in range(self.width):
185 if i in self.partition_points:
186 # add extra bit set to 0 + 0 for enabled partition points
187 # and 1 + 0 for disabled partition points
188 ea.append(self._expanded_a[expanded_index])
189 al.append(~self.partition_points[i])
190 eb.append(self._expanded_b[expanded_index])
191 bl.append(C(0))
192 expanded_index += 1
193 ea.append(self._expanded_a[expanded_index])
194 al.append(self.a[i])
195 eb.append(self._expanded_b[expanded_index])
196 bl.append(self.b[i])
197 eo.append(self._expanded_output[expanded_index])
198 ol.append(self.output[i])
199 expanded_index += 1
200 # combine above using Cat
201 m.d.comb += Cat(*ea).eq(Cat(*al))
202 m.d.comb += Cat(*eb).eq(Cat(*bl))
203 m.d.comb += Cat(*ol).eq(Cat(*eo))
204 # use only one addition to take advantage of look-ahead carry and
205 # special hardware on FPGAs
206 m.d.comb += self._expanded_output.eq(
207 self._expanded_a + self._expanded_b)
208 return m
209
210
211 FULL_ADDER_INPUT_COUNT = 3
212
213
214 class AddReduce(Elaboratable):
215 """Add list of numbers together.
216
217 :attribute inputs: input ``Signal``s to be summed. Modification not
218 supported, except for by ``Signal.eq``.
219 :attribute register_levels: List of nesting levels that should have
220 pipeline registers.
221 :attribute output: output sum.
222 :attribute partition_points: the input partition points. Modification not
223 supported, except for by ``Signal.eq``.
224 """
225
226 def __init__(self, inputs, output_width, register_levels, partition_points):
227 """Create an ``AddReduce``.
228
229 :param inputs: input ``Signal``s to be summed.
230 :param output_width: bit-width of ``output``.
231 :param register_levels: List of nesting levels that should have
232 pipeline registers.
233 :param partition_points: the input partition points.
234 """
235 self.inputs = list(inputs)
236 self._resized_inputs = [
237 Signal(output_width, name=f"resized_inputs[{i}]")
238 for i in range(len(self.inputs))]
239 self.register_levels = list(register_levels)
240 self.output = Signal(output_width)
241 self.partition_points = PartitionPoints(partition_points)
242 if not self.partition_points.fits_in_width(output_width):
243 raise ValueError("partition_points doesn't fit in output_width")
244 self._reg_partition_points = self.partition_points.like()
245 max_level = AddReduce.get_max_level(len(self.inputs))
246 for level in self.register_levels:
247 if level > max_level:
248 raise ValueError(
249 "not enough adder levels for specified register levels")
250
251 @staticmethod
252 def get_max_level(input_count):
253 """Get the maximum level.
254
255 All ``register_levels`` must be less than or equal to the maximum
256 level.
257 """
258 retval = 0
259 while True:
260 groups = AddReduce.full_adder_groups(input_count)
261 if len(groups) == 0:
262 return retval
263 input_count %= FULL_ADDER_INPUT_COUNT
264 input_count += 2 * len(groups)
265 retval += 1
266
267 def next_register_levels(self):
268 """``Iterable`` of ``register_levels`` for next recursive level."""
269 for level in self.register_levels:
270 if level > 0:
271 yield level - 1
272
273 @staticmethod
274 def full_adder_groups(input_count):
275 """Get ``inputs`` indices for which a full adder should be built."""
276 return range(0,
277 input_count - FULL_ADDER_INPUT_COUNT + 1,
278 FULL_ADDER_INPUT_COUNT)
279
280 def elaborate(self, platform):
281 """Elaborate this module."""
282 m = Module()
283
284 # resize inputs to correct bit-width and optionally add in
285 # pipeline registers
286 resized_input_assignments = [self._resized_inputs[i].eq(self.inputs[i])
287 for i in range(len(self.inputs))]
288 if 0 in self.register_levels:
289 m.d.sync += resized_input_assignments
290 m.d.sync += self._reg_partition_points.eq(self.partition_points)
291 else:
292 m.d.comb += resized_input_assignments
293 m.d.comb += self._reg_partition_points.eq(self.partition_points)
294
295 groups = AddReduce.full_adder_groups(len(self.inputs))
296 # if there are no full adders to create, then we handle the base cases
297 # and return, otherwise we go on to the recursive case
298 if len(groups) == 0:
299 if len(self.inputs) == 0:
300 # use 0 as the default output value
301 m.d.comb += self.output.eq(0)
302 elif len(self.inputs) == 1:
303 # handle single input
304 m.d.comb += self.output.eq(self._resized_inputs[0])
305 else:
306 # base case for adding 2 or more inputs, which get recursively
307 # reduced to 2 inputs
308 assert len(self.inputs) == 2
309 adder = PartitionedAdder(len(self.output),
310 self._reg_partition_points)
311 m.submodules.final_adder = adder
312 m.d.comb += adder.a.eq(self._resized_inputs[0])
313 m.d.comb += adder.b.eq(self._resized_inputs[1])
314 m.d.comb += self.output.eq(adder.output)
315 return m
316 # go on to handle recursive case
317 intermediate_terms = []
318
319 def add_intermediate_term(value):
320 intermediate_term = Signal(
321 len(self.output),
322 name=f"intermediate_terms[{len(intermediate_terms)}]")
323 intermediate_terms.append(intermediate_term)
324 m.d.comb += intermediate_term.eq(value)
325
326 # store mask in intermediary (simplifies graph)
327 part_mask = Signal(len(self.output), reset_less=True)
328 mask = self._reg_partition_points.as_mask(len(self.output))
329 m.d.comb += part_mask.eq(mask)
330
331 # create full adders for this recursive level.
332 # this shrinks N terms to 2 * (N // 3) plus the remainder
333 for i in groups:
334 adder_i = FullAdder(len(self.output))
335 setattr(m.submodules, f"adder_{i}", adder_i)
336 m.d.comb += adder_i.in0.eq(self._resized_inputs[i])
337 m.d.comb += adder_i.in1.eq(self._resized_inputs[i + 1])
338 m.d.comb += adder_i.in2.eq(self._resized_inputs[i + 2])
339 add_intermediate_term(adder_i.sum)
340 shifted_carry = adder_i.carry << 1
341 # mask out carry bits to prevent carries between partitions
342 add_intermediate_term((adder_i.carry << 1) & part_mask)
343 # handle the remaining inputs.
344 if len(self.inputs) % FULL_ADDER_INPUT_COUNT == 1:
345 add_intermediate_term(self._resized_inputs[-1])
346 elif len(self.inputs) % FULL_ADDER_INPUT_COUNT == 2:
347 # Just pass the terms to the next layer, since we wouldn't gain
348 # anything by using a half adder since there would still be 2 terms
349 # and just passing the terms to the next layer saves gates.
350 add_intermediate_term(self._resized_inputs[-2])
351 add_intermediate_term(self._resized_inputs[-1])
352 else:
353 assert len(self.inputs) % FULL_ADDER_INPUT_COUNT == 0
354 # recursive invocation of ``AddReduce``
355 next_level = AddReduce(intermediate_terms,
356 len(self.output),
357 self.next_register_levels(),
358 self._reg_partition_points)
359 m.submodules.next_level = next_level
360 m.d.comb += self.output.eq(next_level.output)
361 return m
362
363
364 OP_MUL_LOW = 0
365 OP_MUL_SIGNED_HIGH = 1
366 OP_MUL_SIGNED_UNSIGNED_HIGH = 2 # a is signed, b is unsigned
367 OP_MUL_UNSIGNED_HIGH = 3
368
369
370 def get_term(value, shift=0, enabled=None):
371 if enabled is not None:
372 value = Mux(enabled, value, 0)
373 if shift > 0:
374 value = Cat(Repl(C(0, 1), shift), value)
375 else:
376 assert shift == 0
377 return value
378
379
380 class ProductTerm(Elaboratable):
381
382 def __init__(self, width, twidth, pbwid, a_index, b_index):
383 self.a_index = a_index
384 self.b_index = b_index
385 shift = 8 * (self.a_index + self.b_index)
386 self.pwidth = width
387 self.twidth = twidth
388 self.width = width*2
389 self.shift = shift
390
391 self.ti = Signal(self.width, reset_less=True)
392 self.term = Signal(twidth, reset_less=True)
393 self.a = Signal(twidth//2, reset_less=True)
394 self.b = Signal(twidth//2, reset_less=True)
395 self.pb_en = Signal(pbwid, reset_less=True)
396
397 self.tl = tl = []
398 min_index = min(self.a_index, self.b_index)
399 max_index = max(self.a_index, self.b_index)
400 for i in range(min_index, max_index):
401 tl.append(self.pb_en[i])
402 name = "te_%d_%d" % (self.a_index, self.b_index)
403 if len(tl) > 0:
404 term_enabled = Signal(name=name, reset_less=True)
405 else:
406 term_enabled = None
407 self.enabled = term_enabled
408 self.term.name = "term_%d_%d" % (a_index, b_index) # rename
409
410 def elaborate(self, platform):
411
412 m = Module()
413 if self.enabled is not None:
414 m.d.comb += self.enabled.eq(~(Cat(*self.tl).bool()))
415
416 bsa = Signal(self.width, reset_less=True)
417 bsb = Signal(self.width, reset_less=True)
418 a_index, b_index = self.a_index, self.b_index
419 pwidth = self.pwidth
420 m.d.comb += bsa.eq(self.a.bit_select(a_index * pwidth, pwidth))
421 m.d.comb += bsb.eq(self.b.bit_select(b_index * pwidth, pwidth))
422 m.d.comb += self.ti.eq(bsa * bsb)
423 m.d.comb += self.term.eq(get_term(self.ti, self.shift, self.enabled))
424 """
425 #TODO: sort out width issues, get inputs a/b switched on/off.
426 #data going into Muxes is 1/2 the required width
427
428 pwidth = self.pwidth
429 width = self.width
430 bsa = Signal(self.twidth//2, reset_less=True)
431 bsb = Signal(self.twidth//2, reset_less=True)
432 asel = Signal(width, reset_less=True)
433 bsel = Signal(width, reset_less=True)
434 a_index, b_index = self.a_index, self.b_index
435 m.d.comb += asel.eq(self.a.bit_select(a_index * pwidth, pwidth))
436 m.d.comb += bsel.eq(self.b.bit_select(b_index * pwidth, pwidth))
437 m.d.comb += bsa.eq(get_term(asel, self.shift, self.enabled))
438 m.d.comb += bsb.eq(get_term(bsel, self.shift, self.enabled))
439 m.d.comb += self.ti.eq(bsa * bsb)
440 m.d.comb += self.term.eq(self.ti)
441 """
442
443 return m
444
445
446 class ProductTerms(Elaboratable):
447
448 def __init__(self, width, twidth, pbwid, a_index, blen):
449 self.a_index = a_index
450 self.blen = blen
451 self.pwidth = width
452 self.twidth = twidth
453 self.pbwid = pbwid
454 self.a = Signal(twidth//2, reset_less=True)
455 self.b = Signal(twidth//2, reset_less=True)
456 self.pb_en = Signal(pbwid, reset_less=True)
457 self.terms = [Signal(twidth, name="term%d"%i, reset_less=True) \
458 for i in range(blen)]
459
460 def elaborate(self, platform):
461
462 m = Module()
463
464 for b_index in range(self.blen):
465 t = ProductTerm(self.pwidth, self.twidth, self.pbwid,
466 self.a_index, b_index)
467 setattr(m.submodules, "term_%d" % b_index, t)
468
469 m.d.comb += t.a.eq(self.a)
470 m.d.comb += t.b.eq(self.b)
471 m.d.comb += t.pb_en.eq(self.pb_en)
472
473 m.d.comb += self.terms[b_index].eq(t.term)
474
475 return m
476
477
478 class Part(Elaboratable):
479 def __init__(self, width, n_parts, n_levels, pbwid):
480
481 # inputs
482 self.a = Signal(64)
483 self.b = Signal(64)
484 self.a_signed = [Signal(name=f"a_signed_{i}") for i in range(8)]
485 self.b_signed = [Signal(name=f"_b_signed_{i}") for i in range(8)]
486 self.pbs = Signal(pbwid, reset_less=True)
487
488 # outputs
489 self.parts = [Signal(name=f"part_{i}") for i in range(n_parts)]
490 self.delayed_parts = [
491 [Signal(name=f"delayed_part_{delay}_{i}")
492 for i in range(n_parts)]
493 for delay in range(n_levels)]
494 # XXX REALLY WEIRD BUG - have to take a copy of the last delayed_parts
495 self.dplast = [Signal(name=f"dplast_{i}")
496 for i in range(n_parts)]
497
498 self.not_a_term = Signal(width)
499 self.neg_lsb_a_term = Signal(width)
500 self.not_b_term = Signal(width)
501 self.neg_lsb_b_term = Signal(width)
502
503 def elaborate(self, platform):
504 m = Module()
505
506 pbs, parts, delayed_parts = self.pbs, self.parts, self.delayed_parts
507 byte_count = 8 // len(parts)
508 for i in range(len(parts)):
509 pbl = []
510 pbl.append(~pbs[i * byte_count - 1])
511 for j in range(i * byte_count, (i + 1) * byte_count - 1):
512 pbl.append(pbs[j])
513 pbl.append(~pbs[(i + 1) * byte_count - 1])
514 value = Signal(len(pbl), reset_less=True)
515 m.d.comb += value.eq(Cat(*pbl))
516 m.d.comb += parts[i].eq(~(value).bool())
517 m.d.comb += delayed_parts[0][i].eq(parts[i])
518 m.d.sync += [delayed_parts[j + 1][i].eq(delayed_parts[j][i])
519 for j in range(len(delayed_parts)-1)]
520 m.d.comb += self.dplast[i].eq(delayed_parts[-1][i])
521
522 not_a_term, neg_lsb_a_term, not_b_term, neg_lsb_b_term = \
523 self.not_a_term, self.neg_lsb_a_term, \
524 self.not_b_term, self.neg_lsb_b_term
525
526 byte_width = 8 // len(parts)
527 bit_width = 8 * byte_width
528 nat, nbt, nla, nlb = [], [], [], []
529 for i in range(len(parts)):
530 be = parts[i] & self.a[(i + 1) * bit_width - 1] \
531 & self.a_signed[i * byte_width]
532 ae = parts[i] & self.b[(i + 1) * bit_width - 1] \
533 & self.b_signed[i * byte_width]
534 a_enabled = Signal(name="a_en_%d" % i, reset_less=True)
535 b_enabled = Signal(name="b_en_%d" % i, reset_less=True)
536 m.d.comb += a_enabled.eq(ae)
537 m.d.comb += b_enabled.eq(be)
538
539 # for 8-bit values: form a * 0xFF00 by using -a * 0x100, the
540 # negation operation is split into a bitwise not and a +1.
541 # likewise for 16, 32, and 64-bit values.
542 nat.append(Mux(a_enabled,
543 Cat(Repl(0, bit_width),
544 ~self.a.bit_select(bit_width * i, bit_width)),
545 0))
546
547 nla.append(Cat(Repl(0, bit_width), a_enabled,
548 Repl(0, bit_width-1)))
549
550 nbt.append(Mux(b_enabled,
551 Cat(Repl(0, bit_width),
552 ~self.b.bit_select(bit_width * i, bit_width)),
553 0))
554
555 nlb.append(Cat(Repl(0, bit_width), b_enabled,
556 Repl(0, bit_width-1)))
557
558 m.d.comb += [not_a_term.eq(Cat(*nat)),
559 not_b_term.eq(Cat(*nbt)),
560 neg_lsb_a_term.eq(Cat(*nla)),
561 neg_lsb_b_term.eq(Cat(*nlb)),
562 ]
563
564 return m
565
566
567 class IntermediateOut(Elaboratable):
568 def __init__(self, width, out_wid, n_parts):
569 self.width = width
570 self.n_parts = n_parts
571 self.delayed_part_ops = [Signal(2, name="dpop%d" % i, reset_less=True)
572 for i in range(8)]
573 self.intermed = Signal(out_wid, reset_less=True)
574 self.output = Signal(out_wid//2, reset_less=True)
575
576 def elaborate(self, platform):
577 m = Module()
578
579 ol = []
580 w = self.width
581 sel = w // 8
582 for i in range(self.n_parts):
583 op = Signal(w, reset_less=True, name="op%d_%d" % (w, i))
584 m.d.comb += op.eq(
585 Mux(self.delayed_part_ops[sel * i] == OP_MUL_LOW,
586 self.intermed.bit_select(i * w*2, w),
587 self.intermed.bit_select(i * w*2 + w, w)))
588 ol.append(op)
589 m.d.comb += self.output.eq(Cat(*ol))
590
591 return m
592
593
594 class FinalOut(Elaboratable):
595 def __init__(self, out_wid):
596 # inputs
597 self.d8 = [Signal(name=f"d8_{i}", reset_less=True) for i in range(8)]
598 self.d16 = [Signal(name=f"d16_{i}", reset_less=True) for i in range(4)]
599 self.d32 = [Signal(name=f"d32_{i}", reset_less=True) for i in range(2)]
600
601 self.i8 = Signal(out_wid, reset_less=True)
602 self.i16 = Signal(out_wid, reset_less=True)
603 self.i32 = Signal(out_wid, reset_less=True)
604 self.i64 = Signal(out_wid, reset_less=True)
605
606 # output
607 self.out = Signal(out_wid, reset_less=True)
608
609 def elaborate(self, platform):
610 m = Module()
611 ol = []
612 for i in range(8):
613 op = Signal(8, reset_less=True, name="op_%d" % i)
614 m.d.comb += op.eq(
615 Mux(self.d8[i] | self.d16[i // 2],
616 Mux(self.d8[i], self.i8.bit_select(i * 8, 8),
617 self.i16.bit_select(i * 8, 8)),
618 Mux(self.d32[i // 4], self.i32.bit_select(i * 8, 8),
619 self.i64.bit_select(i * 8, 8))))
620 ol.append(op)
621 m.d.comb += self.out.eq(Cat(*ol))
622 return m
623
624
625 class OrMod(Elaboratable):
626 def __init__(self, wid):
627 self.wid = wid
628 self.orin = [Signal(wid, name="orin%d" % i, reset_less=True)
629 for i in range(4)]
630 self.orout = Signal(wid, reset_less=True)
631
632 def elaborate(self, platform):
633 m = Module()
634 or1 = Signal(self.wid, reset_less=True)
635 or2 = Signal(self.wid, reset_less=True)
636 m.d.comb += or1.eq(self.orin[0] | self.orin[1])
637 m.d.comb += or2.eq(self.orin[2] | self.orin[3])
638 m.d.comb += self.orout.eq(or1 | or2)
639
640 return m
641
642
643 class Signs(Elaboratable):
644
645 def __init__(self):
646 self.part_ops = Signal(2, reset_less=True)
647 self.a_signed = Signal(reset_less=True)
648 self.b_signed = Signal(reset_less=True)
649
650 def elaborate(self, platform):
651
652 m = Module()
653
654 asig = self.part_ops != OP_MUL_UNSIGNED_HIGH
655 bsig = (self.part_ops == OP_MUL_LOW) \
656 | (self.part_ops == OP_MUL_SIGNED_HIGH)
657 m.d.comb += self.a_signed.eq(asig)
658 m.d.comb += self.b_signed.eq(bsig)
659
660 return m
661
662
663 class Mul8_16_32_64(Elaboratable):
664 """Signed/Unsigned 8/16/32/64-bit partitioned integer multiplier.
665
666 Supports partitioning into any combination of 8, 16, 32, and 64-bit
667 partitions on naturally-aligned boundaries. Supports the operation being
668 set for each partition independently.
669
670 :attribute part_pts: the input partition points. Has a partition point at
671 multiples of 8 in 0 < i < 64. Each partition point's associated
672 ``Value`` is a ``Signal``. Modification not supported, except for by
673 ``Signal.eq``.
674 :attribute part_ops: the operation for each byte. The operation for a
675 particular partition is selected by assigning the selected operation
676 code to each byte in the partition. The allowed operation codes are:
677
678 :attribute OP_MUL_LOW: the LSB half of the product. Equivalent to
679 RISC-V's `mul` instruction.
680 :attribute OP_MUL_SIGNED_HIGH: the MSB half of the product where both
681 ``a`` and ``b`` are signed. Equivalent to RISC-V's `mulh`
682 instruction.
683 :attribute OP_MUL_SIGNED_UNSIGNED_HIGH: the MSB half of the product
684 where ``a`` is signed and ``b`` is unsigned. Equivalent to RISC-V's
685 `mulhsu` instruction.
686 :attribute OP_MUL_UNSIGNED_HIGH: the MSB half of the product where both
687 ``a`` and ``b`` are unsigned. Equivalent to RISC-V's `mulhu`
688 instruction.
689 """
690
691 def __init__(self, register_levels= ()):
692
693 # parameter(s)
694 self.register_levels = list(register_levels)
695
696 # inputs
697 self.part_pts = PartitionPoints()
698 for i in range(8, 64, 8):
699 self.part_pts[i] = Signal(name=f"part_pts_{i}")
700 self.part_ops = [Signal(2, name=f"part_ops_{i}") for i in range(8)]
701 self.a = Signal(64)
702 self.b = Signal(64)
703
704 # intermediates (needed for unit tests)
705 self._intermediate_output = Signal(128)
706
707 # output
708 self.output = Signal(64)
709
710 def _part_byte(self, index):
711 if index == -1 or index == 7:
712 return C(True, 1)
713 assert index >= 0 and index < 8
714 return self.part_pts[index * 8 + 8]
715
716 def elaborate(self, platform):
717 m = Module()
718
719 # collect part-bytes
720 pbs = Signal(8, reset_less=True)
721 tl = []
722 for i in range(8):
723 pb = Signal(name="pb%d" % i, reset_less=True)
724 m.d.comb += pb.eq(self._part_byte(i))
725 tl.append(pb)
726 m.d.comb += pbs.eq(Cat(*tl))
727
728 # local variables
729 signs = []
730 for i in range(8):
731 s = Signs()
732 signs.append(s)
733 setattr(m.submodules, "signs%d" % i, s)
734 m.d.comb += s.part_ops.eq(self.part_ops[i])
735
736 delayed_part_ops = [
737 [Signal(2, name=f"_delayed_part_ops_{delay}_{i}")
738 for i in range(8)]
739 for delay in range(1 + len(self.register_levels))]
740 for i in range(len(self.part_ops)):
741 m.d.comb += delayed_part_ops[0][i].eq(self.part_ops[i])
742 m.d.sync += [delayed_part_ops[j + 1][i].eq(delayed_part_ops[j][i])
743 for j in range(len(self.register_levels))]
744
745 n_levels = len(self.register_levels)+1
746 m.submodules.part_8 = part_8 = Part(128, 8, n_levels, 8)
747 m.submodules.part_16 = part_16 = Part(128, 4, n_levels, 8)
748 m.submodules.part_32 = part_32 = Part(128, 2, n_levels, 8)
749 m.submodules.part_64 = part_64 = Part(128, 1, n_levels, 8)
750 nat_l, nbt_l, nla_l, nlb_l = [], [], [], []
751 for mod in [part_8, part_16, part_32, part_64]:
752 m.d.comb += mod.a.eq(self.a)
753 m.d.comb += mod.b.eq(self.b)
754 for i in range(len(signs)):
755 m.d.comb += mod.a_signed[i].eq(signs[i].a_signed)
756 m.d.comb += mod.b_signed[i].eq(signs[i].b_signed)
757 m.d.comb += mod.pbs.eq(pbs)
758 nat_l.append(mod.not_a_term)
759 nbt_l.append(mod.not_b_term)
760 nla_l.append(mod.neg_lsb_a_term)
761 nlb_l.append(mod.neg_lsb_b_term)
762
763 terms = []
764
765 for a_index in range(8):
766 t = ProductTerms(8, 128, 8, a_index, 8)
767 setattr(m.submodules, "terms_%d" % a_index, t)
768
769 m.d.comb += t.a.eq(self.a)
770 m.d.comb += t.b.eq(self.b)
771 m.d.comb += t.pb_en.eq(pbs)
772
773 for term in t.terms:
774 terms.append(term)
775
776 # it's fine to bitwise-or data together since they are never enabled
777 # at the same time
778 m.submodules.nat_or = nat_or = OrMod(128)
779 m.submodules.nbt_or = nbt_or = OrMod(128)
780 m.submodules.nla_or = nla_or = OrMod(128)
781 m.submodules.nlb_or = nlb_or = OrMod(128)
782 for l, mod in [(nat_l, nat_or),
783 (nbt_l, nbt_or),
784 (nla_l, nla_or),
785 (nlb_l, nlb_or)]:
786 for i in range(len(l)):
787 m.d.comb += mod.orin[i].eq(l[i])
788 terms.append(mod.orout)
789
790 expanded_part_pts = PartitionPoints()
791 for i, v in self.part_pts.items():
792 signal = Signal(name=f"expanded_part_pts_{i*2}", reset_less=True)
793 expanded_part_pts[i * 2] = signal
794 m.d.comb += signal.eq(v)
795
796 add_reduce = AddReduce(terms,
797 128,
798 self.register_levels,
799 expanded_part_pts)
800 m.submodules.add_reduce = add_reduce
801 m.d.comb += self._intermediate_output.eq(add_reduce.output)
802 # create _output_64
803 m.submodules.io64 = io64 = IntermediateOut(64, 128, 1)
804 m.d.comb += io64.intermed.eq(self._intermediate_output)
805 for i in range(8):
806 m.d.comb += io64.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
807
808 # create _output_32
809 m.submodules.io32 = io32 = IntermediateOut(32, 128, 2)
810 m.d.comb += io32.intermed.eq(self._intermediate_output)
811 for i in range(8):
812 m.d.comb += io32.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
813
814 # create _output_16
815 m.submodules.io16 = io16 = IntermediateOut(16, 128, 4)
816 m.d.comb += io16.intermed.eq(self._intermediate_output)
817 for i in range(8):
818 m.d.comb += io16.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
819
820 # create _output_8
821 m.submodules.io8 = io8 = IntermediateOut(8, 128, 8)
822 m.d.comb += io8.intermed.eq(self._intermediate_output)
823 for i in range(8):
824 m.d.comb += io8.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
825
826 # final output
827 m.submodules.fo = fo = FinalOut(64)
828 for i in range(len(part_8.delayed_parts[-1])):
829 m.d.comb += fo.d8[i].eq(part_8.dplast[i])
830 for i in range(len(part_16.delayed_parts[-1])):
831 m.d.comb += fo.d16[i].eq(part_16.dplast[i])
832 for i in range(len(part_32.delayed_parts[-1])):
833 m.d.comb += fo.d32[i].eq(part_32.dplast[i])
834 m.d.comb += fo.i8.eq(io8.output)
835 m.d.comb += fo.i16.eq(io16.output)
836 m.d.comb += fo.i32.eq(io32.output)
837 m.d.comb += fo.i64.eq(io64.output)
838 m.d.comb += self.output.eq(fo.out)
839
840 return m
841
842
843 if __name__ == "__main__":
844 m = Mul8_16_32_64()
845 main(m, ports=[m.a,
846 m.b,
847 m._intermediate_output,
848 m.output,
849 *m.part_ops,
850 *m.part_pts.values()])