argh horrible nmigen bug on use of sync involving modules
[ieee754fpu.git] / src / ieee754 / part_mul_add / multiply.py
1 # SPDX-License-Identifier: LGPL-2.1-or-later
2 # See Notices.txt for copyright information
3 """Integer Multiplication."""
4
5 from nmigen import Signal, Module, Value, Elaboratable, Cat, C, Mux, Repl
6 from nmigen.hdl.ast import Assign
7 from abc import ABCMeta, abstractmethod
8 from nmigen.cli import main
9 from functools import reduce
10 from operator import or_
11
12 class PartitionPoints(dict):
13 """Partition points and corresponding ``Value``s.
14
15 The points at where an ALU is partitioned along with ``Value``s that
16 specify if the corresponding partition points are enabled.
17
18 For example: ``{1: True, 5: True, 10: True}`` with
19 ``width == 16`` specifies that the ALU is split into 4 sections:
20 * bits 0 <= ``i`` < 1
21 * bits 1 <= ``i`` < 5
22 * bits 5 <= ``i`` < 10
23 * bits 10 <= ``i`` < 16
24
25 If the partition_points were instead ``{1: True, 5: a, 10: True}``
26 where ``a`` is a 1-bit ``Signal``:
27 * If ``a`` is asserted:
28 * bits 0 <= ``i`` < 1
29 * bits 1 <= ``i`` < 5
30 * bits 5 <= ``i`` < 10
31 * bits 10 <= ``i`` < 16
32 * Otherwise
33 * bits 0 <= ``i`` < 1
34 * bits 1 <= ``i`` < 10
35 * bits 10 <= ``i`` < 16
36 """
37
38 def __init__(self, partition_points=None):
39 """Create a new ``PartitionPoints``.
40
41 :param partition_points: the input partition points to values mapping.
42 """
43 super().__init__()
44 if partition_points is not None:
45 for point, enabled in partition_points.items():
46 if not isinstance(point, int):
47 raise TypeError("point must be a non-negative integer")
48 if point < 0:
49 raise ValueError("point must be a non-negative integer")
50 self[point] = Value.wrap(enabled)
51
52 def like(self, name=None, src_loc_at=0):
53 """Create a new ``PartitionPoints`` with ``Signal``s for all values.
54
55 :param name: the base name for the new ``Signal``s.
56 """
57 if name is None:
58 name = Signal(src_loc_at=1+src_loc_at).name # get variable name
59 retval = PartitionPoints()
60 for point, enabled in self.items():
61 retval[point] = Signal(enabled.shape(), name=f"{name}_{point}")
62 return retval
63
64 def eq(self, rhs):
65 """Assign ``PartitionPoints`` using ``Signal.eq``."""
66 if set(self.keys()) != set(rhs.keys()):
67 raise ValueError("incompatible point set")
68 for point, enabled in self.items():
69 yield enabled.eq(rhs[point])
70
71 def as_mask(self, width):
72 """Create a bit-mask from `self`.
73
74 Each bit in the returned mask is clear only if the partition point at
75 the same bit-index is enabled.
76
77 :param width: the bit width of the resulting mask
78 """
79 bits = []
80 for i in range(width):
81 if i in self:
82 bits.append(~self[i])
83 else:
84 bits.append(True)
85 return Cat(*bits)
86
87 def get_max_partition_count(self, width):
88 """Get the maximum number of partitions.
89
90 Gets the number of partitions when all partition points are enabled.
91 """
92 retval = 1
93 for point in self.keys():
94 if point < width:
95 retval += 1
96 return retval
97
98 def fits_in_width(self, width):
99 """Check if all partition points are smaller than `width`."""
100 for point in self.keys():
101 if point >= width:
102 return False
103 return True
104
105
106 class FullAdder(Elaboratable):
107 """Full Adder.
108
109 :attribute in0: the first input
110 :attribute in1: the second input
111 :attribute in2: the third input
112 :attribute sum: the sum output
113 :attribute carry: the carry output
114 """
115
116 def __init__(self, width):
117 """Create a ``FullAdder``.
118
119 :param width: the bit width of the input and output
120 """
121 self.in0 = Signal(width)
122 self.in1 = Signal(width)
123 self.in2 = Signal(width)
124 self.sum = Signal(width)
125 self.carry = Signal(width)
126
127 def elaborate(self, platform):
128 """Elaborate this module."""
129 m = Module()
130 m.d.comb += self.sum.eq(self.in0 ^ self.in1 ^ self.in2)
131 m.d.comb += self.carry.eq((self.in0 & self.in1)
132 | (self.in1 & self.in2)
133 | (self.in2 & self.in0))
134 return m
135
136
137 class PartitionedAdder(Elaboratable):
138 """Partitioned Adder.
139
140 :attribute width: the bit width of the input and output. Read-only.
141 :attribute a: the first input to the adder
142 :attribute b: the second input to the adder
143 :attribute output: the sum output
144 :attribute partition_points: the input partition points. Modification not
145 supported, except for by ``Signal.eq``.
146 """
147
148 def __init__(self, width, partition_points):
149 """Create a ``PartitionedAdder``.
150
151 :param width: the bit width of the input and output
152 :param partition_points: the input partition points
153 """
154 self.width = width
155 self.a = Signal(width)
156 self.b = Signal(width)
157 self.output = Signal(width)
158 self.partition_points = PartitionPoints(partition_points)
159 if not self.partition_points.fits_in_width(width):
160 raise ValueError("partition_points doesn't fit in width")
161 expanded_width = 0
162 for i in range(self.width):
163 if i in self.partition_points:
164 expanded_width += 1
165 expanded_width += 1
166 self._expanded_width = expanded_width
167 self._expanded_a = Signal(expanded_width)
168 self._expanded_b = Signal(expanded_width)
169 self._expanded_output = Signal(expanded_width)
170
171 def elaborate(self, platform):
172 """Elaborate this module."""
173 m = Module()
174 expanded_index = 0
175 # store bits in a list, use Cat later. graphviz is much cleaner
176 al = []
177 bl = []
178 ol = []
179 ea = []
180 eb = []
181 eo = []
182 # partition points are "breaks" (extra zeros) in what would otherwise
183 # be a massive long add.
184 for i in range(self.width):
185 if i in self.partition_points:
186 # add extra bit set to 0 + 0 for enabled partition points
187 # and 1 + 0 for disabled partition points
188 ea.append(self._expanded_a[expanded_index])
189 al.append(~self.partition_points[i])
190 eb.append(self._expanded_b[expanded_index])
191 bl.append(C(0))
192 expanded_index += 1
193 ea.append(self._expanded_a[expanded_index])
194 al.append(self.a[i])
195 eb.append(self._expanded_b[expanded_index])
196 bl.append(self.b[i])
197 eo.append(self._expanded_output[expanded_index])
198 ol.append(self.output[i])
199 expanded_index += 1
200 # combine above using Cat
201 m.d.comb += Cat(*ea).eq(Cat(*al))
202 m.d.comb += Cat(*eb).eq(Cat(*bl))
203 m.d.comb += Cat(*ol).eq(Cat(*eo))
204 # use only one addition to take advantage of look-ahead carry and
205 # special hardware on FPGAs
206 m.d.comb += self._expanded_output.eq(
207 self._expanded_a + self._expanded_b)
208 return m
209
210
211 FULL_ADDER_INPUT_COUNT = 3
212
213
214 class AddReduce(Elaboratable):
215 """Add list of numbers together.
216
217 :attribute inputs: input ``Signal``s to be summed. Modification not
218 supported, except for by ``Signal.eq``.
219 :attribute register_levels: List of nesting levels that should have
220 pipeline registers.
221 :attribute output: output sum.
222 :attribute partition_points: the input partition points. Modification not
223 supported, except for by ``Signal.eq``.
224 """
225
226 def __init__(self, inputs, output_width, register_levels, partition_points):
227 """Create an ``AddReduce``.
228
229 :param inputs: input ``Signal``s to be summed.
230 :param output_width: bit-width of ``output``.
231 :param register_levels: List of nesting levels that should have
232 pipeline registers.
233 :param partition_points: the input partition points.
234 """
235 self.inputs = list(inputs)
236 self._resized_inputs = [
237 Signal(output_width, name=f"resized_inputs[{i}]")
238 for i in range(len(self.inputs))]
239 self.register_levels = list(register_levels)
240 self.output = Signal(output_width)
241 self.partition_points = PartitionPoints(partition_points)
242 if not self.partition_points.fits_in_width(output_width):
243 raise ValueError("partition_points doesn't fit in output_width")
244 self._reg_partition_points = self.partition_points.like()
245 max_level = AddReduce.get_max_level(len(self.inputs))
246 for level in self.register_levels:
247 if level > max_level:
248 raise ValueError(
249 "not enough adder levels for specified register levels")
250
251 @staticmethod
252 def get_max_level(input_count):
253 """Get the maximum level.
254
255 All ``register_levels`` must be less than or equal to the maximum
256 level.
257 """
258 retval = 0
259 while True:
260 groups = AddReduce.full_adder_groups(input_count)
261 if len(groups) == 0:
262 return retval
263 input_count %= FULL_ADDER_INPUT_COUNT
264 input_count += 2 * len(groups)
265 retval += 1
266
267 def next_register_levels(self):
268 """``Iterable`` of ``register_levels`` for next recursive level."""
269 for level in self.register_levels:
270 if level > 0:
271 yield level - 1
272
273 @staticmethod
274 def full_adder_groups(input_count):
275 """Get ``inputs`` indices for which a full adder should be built."""
276 return range(0,
277 input_count - FULL_ADDER_INPUT_COUNT + 1,
278 FULL_ADDER_INPUT_COUNT)
279
280 def elaborate(self, platform):
281 """Elaborate this module."""
282 m = Module()
283
284 # resize inputs to correct bit-width and optionally add in
285 # pipeline registers
286 resized_input_assignments = [self._resized_inputs[i].eq(self.inputs[i])
287 for i in range(len(self.inputs))]
288 if 0 in self.register_levels:
289 m.d.sync += resized_input_assignments
290 m.d.sync += self._reg_partition_points.eq(self.partition_points)
291 else:
292 m.d.comb += resized_input_assignments
293 m.d.comb += self._reg_partition_points.eq(self.partition_points)
294
295 groups = AddReduce.full_adder_groups(len(self.inputs))
296 # if there are no full adders to create, then we handle the base cases
297 # and return, otherwise we go on to the recursive case
298 if len(groups) == 0:
299 if len(self.inputs) == 0:
300 # use 0 as the default output value
301 m.d.comb += self.output.eq(0)
302 elif len(self.inputs) == 1:
303 # handle single input
304 m.d.comb += self.output.eq(self._resized_inputs[0])
305 else:
306 # base case for adding 2 or more inputs, which get recursively
307 # reduced to 2 inputs
308 assert len(self.inputs) == 2
309 adder = PartitionedAdder(len(self.output),
310 self._reg_partition_points)
311 m.submodules.final_adder = adder
312 m.d.comb += adder.a.eq(self._resized_inputs[0])
313 m.d.comb += adder.b.eq(self._resized_inputs[1])
314 m.d.comb += self.output.eq(adder.output)
315 return m
316 # go on to handle recursive case
317 intermediate_terms = []
318
319 def add_intermediate_term(value):
320 intermediate_term = Signal(
321 len(self.output),
322 name=f"intermediate_terms[{len(intermediate_terms)}]")
323 intermediate_terms.append(intermediate_term)
324 m.d.comb += intermediate_term.eq(value)
325
326 # store mask in intermediary (simplifies graph)
327 part_mask = Signal(len(self.output), reset_less=True)
328 mask = self._reg_partition_points.as_mask(len(self.output))
329 m.d.comb += part_mask.eq(mask)
330
331 # create full adders for this recursive level.
332 # this shrinks N terms to 2 * (N // 3) plus the remainder
333 for i in groups:
334 adder_i = FullAdder(len(self.output))
335 setattr(m.submodules, f"adder_{i}", adder_i)
336 m.d.comb += adder_i.in0.eq(self._resized_inputs[i])
337 m.d.comb += adder_i.in1.eq(self._resized_inputs[i + 1])
338 m.d.comb += adder_i.in2.eq(self._resized_inputs[i + 2])
339 add_intermediate_term(adder_i.sum)
340 shifted_carry = adder_i.carry << 1
341 # mask out carry bits to prevent carries between partitions
342 add_intermediate_term((adder_i.carry << 1) & part_mask)
343 # handle the remaining inputs.
344 if len(self.inputs) % FULL_ADDER_INPUT_COUNT == 1:
345 add_intermediate_term(self._resized_inputs[-1])
346 elif len(self.inputs) % FULL_ADDER_INPUT_COUNT == 2:
347 # Just pass the terms to the next layer, since we wouldn't gain
348 # anything by using a half adder since there would still be 2 terms
349 # and just passing the terms to the next layer saves gates.
350 add_intermediate_term(self._resized_inputs[-2])
351 add_intermediate_term(self._resized_inputs[-1])
352 else:
353 assert len(self.inputs) % FULL_ADDER_INPUT_COUNT == 0
354 # recursive invocation of ``AddReduce``
355 next_level = AddReduce(intermediate_terms,
356 len(self.output),
357 self.next_register_levels(),
358 self._reg_partition_points)
359 m.submodules.next_level = next_level
360 m.d.comb += self.output.eq(next_level.output)
361 return m
362
363
364 OP_MUL_LOW = 0
365 OP_MUL_SIGNED_HIGH = 1
366 OP_MUL_SIGNED_UNSIGNED_HIGH = 2 # a is signed, b is unsigned
367 OP_MUL_UNSIGNED_HIGH = 3
368
369
370 def get_term(value, shift=0, enabled=None):
371 if enabled is not None:
372 value = Mux(enabled, value, 0)
373 if shift > 0:
374 value = Cat(Repl(C(0, 1), shift), value)
375 else:
376 assert shift == 0
377 return value
378
379
380 class Term(Elaboratable):
381 def __init__(self, width, twidth, shift=0, enabled=None):
382 self.width = width
383 self.shift = shift
384 self.enabled = enabled
385 self.ti = Signal(width, reset_less=True)
386 self.term = Signal(twidth, reset_less=True)
387
388 def elaborate(self, platform):
389
390 m = Module()
391 m.d.comb += self.term.eq(get_term(self.ti, self.shift, self.enabled))
392
393 return m
394
395
396 class ProductTerm(Elaboratable):
397 def __init__(self, width, twidth, pbwid, a_index, b_index):
398 self.a_index = a_index
399 self.b_index = b_index
400 shift = 8 * (self.a_index + self.b_index)
401 self.pwidth = width
402 self.a = Signal(twidth//2, reset_less=True)
403 self.b = Signal(twidth//2, reset_less=True)
404 self.pb_en = Signal(pbwid, reset_less=True)
405
406 self.tl = tl = []
407 min_index = min(self.a_index, self.b_index)
408 max_index = max(self.a_index, self.b_index)
409 for i in range(min_index, max_index):
410 tl.append(self.pb_en[i])
411 name = "te_%d_%d" % (self.a_index, self.b_index)
412 if len(tl) > 0:
413 term_enabled = Signal(name=name, reset_less=True)
414 else:
415 term_enabled = None
416
417 Term.__init__(self, width*2, twidth, shift, term_enabled)
418 self.term.name = "term_%d_%d" % (a_index, b_index) # rename
419
420 def elaborate(self, platform):
421
422 m = Term.elaborate(self, platform)
423 if self.enabled is not None:
424 m.d.comb += self.enabled.eq(~(Cat(*self.tl).bool()))
425
426 bsa = Signal(self.width, reset_less=True)
427 bsb = Signal(self.width, reset_less=True)
428 a_index, b_index = self.a_index, self.b_index
429 pwidth = self.pwidth
430 m.d.comb += bsa.eq(self.a.bit_select(a_index * pwidth, pwidth))
431 m.d.comb += bsb.eq(self.b.bit_select(b_index * pwidth, pwidth))
432 m.d.comb += self.ti.eq(bsa * bsb)
433
434 return m
435
436
437 class ProductTerms(Elaboratable):
438
439 def __init__(self, width, twidth, pbwid, a_index, blen):
440 self.a_index = a_index
441 self.blen = blen
442 self.pwidth = width
443 self.twidth = twidth
444 self.pbwid = pbwid
445 self.a = Signal(twidth//2, reset_less=True)
446 self.b = Signal(twidth//2, reset_less=True)
447 self.pb_en = Signal(pbwid, reset_less=True)
448 self.terms = [Signal(twidth, name="term%d"%i, reset_less=True) \
449 for i in range(blen)]
450
451 def elaborate(self, platform):
452
453 m = Module()
454
455 for b_index in range(self.blen):
456 t = ProductTerm(self.pwidth, self.twidth, self.pbwid,
457 self.a_index, b_index)
458 setattr(m.submodules, "term_%d" % b_index, t)
459
460 m.d.comb += t.a.eq(self.a)
461 m.d.comb += t.b.eq(self.b)
462 m.d.comb += t.pb_en.eq(self.pb_en)
463
464 m.d.comb += self.terms[b_index].eq(t.term)
465
466 return m
467
468
469 class Part(Elaboratable):
470 def __init__(self, width, n_parts, n_levels, pbwid):
471
472 # inputs
473 self.a = Signal(64)
474 self.b = Signal(64)
475 self.a_signed = [Signal(name=f"a_signed_{i}") for i in range(8)]
476 self.b_signed = [Signal(name=f"_b_signed_{i}") for i in range(8)]
477 self.pbs = Signal(pbwid, reset_less=True)
478
479 # outputs
480 self.parts = [Signal(name=f"part_{i}") for i in range(n_parts)]
481 self.delayed_parts = [
482 [Signal(name=f"delayed_part_{delay}_{i}")
483 for i in range(n_parts)]
484 for delay in range(n_levels)]
485 # XXX REALLY WEIRD BUG - have to take a copy of the last delayed_parts
486 self.dplast = [Signal(name=f"dplast_{i}")
487 for i in range(n_parts)]
488
489 self.not_a_term = Signal(width)
490 self.neg_lsb_a_term = Signal(width)
491 self.not_b_term = Signal(width)
492 self.neg_lsb_b_term = Signal(width)
493
494 def elaborate(self, platform):
495 m = Module()
496
497 pbs, parts, delayed_parts = self.pbs, self.parts, self.delayed_parts
498 byte_count = 8 // len(parts)
499 for i in range(len(parts)):
500 pbl = []
501 pbl.append(~pbs[i * byte_count - 1])
502 for j in range(i * byte_count, (i + 1) * byte_count - 1):
503 pbl.append(pbs[j])
504 pbl.append(~pbs[(i + 1) * byte_count - 1])
505 value = Signal(len(pbl), reset_less=True)
506 m.d.comb += value.eq(Cat(*pbl))
507 m.d.comb += parts[i].eq(~(value).bool())
508 m.d.comb += delayed_parts[0][i].eq(parts[i])
509 m.d.sync += [delayed_parts[j + 1][i].eq(delayed_parts[j][i])
510 for j in range(len(delayed_parts)-1)]
511 m.d.comb += self.dplast[i].eq(delayed_parts[-1][i])
512
513 not_a_term, neg_lsb_a_term, not_b_term, neg_lsb_b_term = \
514 self.not_a_term, self.neg_lsb_a_term, \
515 self.not_b_term, self.neg_lsb_b_term
516
517 byte_width = 8 // len(parts)
518 bit_width = 8 * byte_width
519 nat, nbt, nla, nlb = [], [], [], []
520 for i in range(len(parts)):
521 be = parts[i] & self.a[(i + 1) * bit_width - 1] \
522 & self.a_signed[i * byte_width]
523 ae = parts[i] & self.b[(i + 1) * bit_width - 1] \
524 & self.b_signed[i * byte_width]
525 a_enabled = Signal(name="a_en_%d" % i, reset_less=True)
526 b_enabled = Signal(name="b_en_%d" % i, reset_less=True)
527 m.d.comb += a_enabled.eq(ae)
528 m.d.comb += b_enabled.eq(be)
529
530 # for 8-bit values: form a * 0xFF00 by using -a * 0x100, the
531 # negation operation is split into a bitwise not and a +1.
532 # likewise for 16, 32, and 64-bit values.
533 nat.append(Mux(a_enabled,
534 Cat(Repl(0, bit_width),
535 ~self.a.bit_select(bit_width * i, bit_width)),
536 0))
537
538 nla.append(Cat(Repl(0, bit_width), a_enabled,
539 Repl(0, bit_width-1)))
540
541 nbt.append(Mux(b_enabled,
542 Cat(Repl(0, bit_width),
543 ~self.b.bit_select(bit_width * i, bit_width)),
544 0))
545
546 nlb.append(Cat(Repl(0, bit_width), b_enabled,
547 Repl(0, bit_width-1)))
548
549 m.d.comb += [not_a_term.eq(Cat(*nat)),
550 not_b_term.eq(Cat(*nbt)),
551 neg_lsb_a_term.eq(Cat(*nla)),
552 neg_lsb_b_term.eq(Cat(*nlb)),
553 ]
554
555 return m
556
557
558 class IntermediateOut(Elaboratable):
559 def __init__(self, width, out_wid, n_parts):
560 self.width = width
561 self.n_parts = n_parts
562 self.delayed_part_ops = [Signal(2, name="dpop%d" % i, reset_less=True)
563 for i in range(8)]
564 self.intermed = Signal(out_wid, reset_less=True)
565 self.output = Signal(out_wid//2, reset_less=True)
566
567 def elaborate(self, platform):
568 m = Module()
569
570 ol = []
571 w = self.width
572 sel = w // 8
573 for i in range(self.n_parts):
574 op = Signal(w, reset_less=True, name="op%d_%d" % (w, i))
575 m.d.comb += op.eq(
576 Mux(self.delayed_part_ops[sel * i] == OP_MUL_LOW,
577 self.intermed.bit_select(i * w*2, w),
578 self.intermed.bit_select(i * w*2 + w, w)))
579 ol.append(op)
580 m.d.comb += self.output.eq(Cat(*ol))
581
582 return m
583
584
585 class FinalOut(Elaboratable):
586 def __init__(self, out_wid):
587 # inputs
588 self.d8 = [Signal(name=f"d8_{i}", reset_less=True) for i in range(8)]
589 self.d16 = [Signal(name=f"d16_{i}", reset_less=True) for i in range(4)]
590 self.d32 = [Signal(name=f"d32_{i}", reset_less=True) for i in range(2)]
591
592 self.i8 = Signal(out_wid, reset_less=True)
593 self.i16 = Signal(out_wid, reset_less=True)
594 self.i32 = Signal(out_wid, reset_less=True)
595 self.i64 = Signal(out_wid, reset_less=True)
596
597 # output
598 self.out = Signal(out_wid, reset_less=True)
599
600 def elaborate(self, platform):
601 m = Module()
602 ol = []
603 for i in range(8):
604 op = Signal(8, reset_less=True, name="op_%d" % i)
605 m.d.comb += op.eq(
606 Mux(self.d8[i] | self.d16[i // 2],
607 Mux(self.d8[i], self.i8.bit_select(i * 8, 8),
608 self.i16.bit_select(i * 8, 8)),
609 Mux(self.d32[i // 4], self.i32.bit_select(i * 8, 8),
610 self.i64.bit_select(i * 8, 8))))
611 ol.append(op)
612 m.d.comb += self.out.eq(Cat(*ol))
613 return m
614
615
616 class OrMod(Elaboratable):
617 def __init__(self, wid):
618 self.wid = wid
619 self.orin = [Signal(wid, name="orin%d" % i, reset_less=True)
620 for i in range(4)]
621 self.orout = Signal(wid, reset_less=True)
622
623 def elaborate(self, platform):
624 m = Module()
625 or1 = Signal(self.wid, reset_less=True)
626 or2 = Signal(self.wid, reset_less=True)
627 m.d.comb += or1.eq(self.orin[0] | self.orin[1])
628 m.d.comb += or2.eq(self.orin[2] | self.orin[3])
629 m.d.comb += self.orout.eq(or1 | or2)
630
631 return m
632
633
634 class Signs(Elaboratable):
635
636 def __init__(self):
637 self.part_ops = Signal(2, reset_less=True)
638 self.a_signed = Signal(reset_less=True)
639 self.b_signed = Signal(reset_less=True)
640
641 def elaborate(self, platform):
642
643 m = Module()
644
645 asig = self.part_ops != OP_MUL_UNSIGNED_HIGH
646 bsig = (self.part_ops == OP_MUL_LOW) \
647 | (self.part_ops == OP_MUL_SIGNED_HIGH)
648 m.d.comb += self.a_signed.eq(asig)
649 m.d.comb += self.b_signed.eq(bsig)
650
651 return m
652
653
654 class Mul8_16_32_64(Elaboratable):
655 """Signed/Unsigned 8/16/32/64-bit partitioned integer multiplier.
656
657 Supports partitioning into any combination of 8, 16, 32, and 64-bit
658 partitions on naturally-aligned boundaries. Supports the operation being
659 set for each partition independently.
660
661 :attribute part_pts: the input partition points. Has a partition point at
662 multiples of 8 in 0 < i < 64. Each partition point's associated
663 ``Value`` is a ``Signal``. Modification not supported, except for by
664 ``Signal.eq``.
665 :attribute part_ops: the operation for each byte. The operation for a
666 particular partition is selected by assigning the selected operation
667 code to each byte in the partition. The allowed operation codes are:
668
669 :attribute OP_MUL_LOW: the LSB half of the product. Equivalent to
670 RISC-V's `mul` instruction.
671 :attribute OP_MUL_SIGNED_HIGH: the MSB half of the product where both
672 ``a`` and ``b`` are signed. Equivalent to RISC-V's `mulh`
673 instruction.
674 :attribute OP_MUL_SIGNED_UNSIGNED_HIGH: the MSB half of the product
675 where ``a`` is signed and ``b`` is unsigned. Equivalent to RISC-V's
676 `mulhsu` instruction.
677 :attribute OP_MUL_UNSIGNED_HIGH: the MSB half of the product where both
678 ``a`` and ``b`` are unsigned. Equivalent to RISC-V's `mulhu`
679 instruction.
680 """
681
682 def __init__(self, register_levels= ()):
683
684 # parameter(s)
685 self.register_levels = list(register_levels)
686
687 # inputs
688 self.part_pts = PartitionPoints()
689 for i in range(8, 64, 8):
690 self.part_pts[i] = Signal(name=f"part_pts_{i}")
691 self.part_ops = [Signal(2, name=f"part_ops_{i}") for i in range(8)]
692 self.a = Signal(64)
693 self.b = Signal(64)
694
695 # intermediates (needed for unit tests)
696 self._intermediate_output = Signal(128)
697
698 # output
699 self.output = Signal(64)
700
701 def _part_byte(self, index):
702 if index == -1 or index == 7:
703 return C(True, 1)
704 assert index >= 0 and index < 8
705 return self.part_pts[index * 8 + 8]
706
707 def elaborate(self, platform):
708 m = Module()
709
710 # collect part-bytes
711 pbs = Signal(8, reset_less=True)
712 tl = []
713 for i in range(8):
714 pb = Signal(name="pb%d" % i, reset_less=True)
715 m.d.comb += pb.eq(self._part_byte(i))
716 tl.append(pb)
717 m.d.comb += pbs.eq(Cat(*tl))
718
719 # local variables
720 signs = []
721 for i in range(8):
722 s = Signs()
723 signs.append(s)
724 setattr(m.submodules, "signs%d" % i, s)
725 m.d.comb += s.part_ops.eq(self.part_ops[i])
726
727 delayed_part_ops = [
728 [Signal(2, name=f"_delayed_part_ops_{delay}_{i}")
729 for i in range(8)]
730 for delay in range(1 + len(self.register_levels))]
731 for i in range(len(self.part_ops)):
732 m.d.comb += delayed_part_ops[0][i].eq(self.part_ops[i])
733 m.d.sync += [delayed_part_ops[j + 1][i].eq(delayed_part_ops[j][i])
734 for j in range(len(self.register_levels))]
735
736 n_levels = len(self.register_levels)+1
737 m.submodules.part_8 = part_8 = Part(128, 8, n_levels, 8)
738 m.submodules.part_16 = part_16 = Part(128, 4, n_levels, 8)
739 m.submodules.part_32 = part_32 = Part(128, 2, n_levels, 8)
740 m.submodules.part_64 = part_64 = Part(128, 1, n_levels, 8)
741 nat_l, nbt_l, nla_l, nlb_l = [], [], [], []
742 for mod in [part_8, part_16, part_32, part_64]:
743 m.d.comb += mod.a.eq(self.a)
744 m.d.comb += mod.b.eq(self.b)
745 for i in range(len(signs)):
746 m.d.comb += mod.a_signed[i].eq(signs[i].a_signed)
747 m.d.comb += mod.b_signed[i].eq(signs[i].b_signed)
748 m.d.comb += mod.pbs.eq(pbs)
749 nat_l.append(mod.not_a_term)
750 nbt_l.append(mod.not_b_term)
751 nla_l.append(mod.neg_lsb_a_term)
752 nlb_l.append(mod.neg_lsb_b_term)
753
754 terms = []
755
756 for a_index in range(8):
757 t = ProductTerms(8, 128, 8, a_index, 8)
758 setattr(m.submodules, "terms_%d" % a_index, t)
759
760 m.d.comb += t.a.eq(self.a)
761 m.d.comb += t.b.eq(self.b)
762 m.d.comb += t.pb_en.eq(pbs)
763
764 for term in t.terms:
765 terms.append(term)
766
767 # it's fine to bitwise-or data together since they are never enabled
768 # at the same time
769 m.submodules.nat_or = nat_or = OrMod(128)
770 m.submodules.nbt_or = nbt_or = OrMod(128)
771 m.submodules.nla_or = nla_or = OrMod(128)
772 m.submodules.nlb_or = nlb_or = OrMod(128)
773 for l, mod in [(nat_l, nat_or),
774 (nbt_l, nbt_or),
775 (nla_l, nla_or),
776 (nlb_l, nlb_or)]:
777 for i in range(len(l)):
778 m.d.comb += mod.orin[i].eq(l[i])
779 terms.append(mod.orout)
780
781 expanded_part_pts = PartitionPoints()
782 for i, v in self.part_pts.items():
783 signal = Signal(name=f"expanded_part_pts_{i*2}", reset_less=True)
784 expanded_part_pts[i * 2] = signal
785 m.d.comb += signal.eq(v)
786
787 add_reduce = AddReduce(terms,
788 128,
789 self.register_levels,
790 expanded_part_pts)
791 m.submodules.add_reduce = add_reduce
792 m.d.comb += self._intermediate_output.eq(add_reduce.output)
793 # create _output_64
794 m.submodules.io64 = io64 = IntermediateOut(64, 128, 1)
795 m.d.comb += io64.intermed.eq(self._intermediate_output)
796 for i in range(8):
797 m.d.comb += io64.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
798
799 # create _output_32
800 m.submodules.io32 = io32 = IntermediateOut(32, 128, 2)
801 m.d.comb += io32.intermed.eq(self._intermediate_output)
802 for i in range(8):
803 m.d.comb += io32.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
804
805 # create _output_16
806 m.submodules.io16 = io16 = IntermediateOut(16, 128, 4)
807 m.d.comb += io16.intermed.eq(self._intermediate_output)
808 for i in range(8):
809 m.d.comb += io16.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
810
811 # create _output_8
812 m.submodules.io8 = io8 = IntermediateOut(8, 128, 8)
813 m.d.comb += io8.intermed.eq(self._intermediate_output)
814 for i in range(8):
815 m.d.comb += io8.delayed_part_ops[i].eq(delayed_part_ops[-1][i])
816
817 # final output
818 m.submodules.fo = fo = FinalOut(64)
819 for i in range(len(part_8.delayed_parts[-1])):
820 m.d.comb += fo.d8[i].eq(part_8.dplast[i])
821 for i in range(len(part_16.delayed_parts[-1])):
822 m.d.comb += fo.d16[i].eq(part_16.dplast[i])
823 for i in range(len(part_32.delayed_parts[-1])):
824 m.d.comb += fo.d32[i].eq(part_32.dplast[i])
825 m.d.comb += fo.i8.eq(io8.output)
826 m.d.comb += fo.i16.eq(io16.output)
827 m.d.comb += fo.i32.eq(io32.output)
828 m.d.comb += fo.i64.eq(io64.output)
829 m.d.comb += self.output.eq(fo.out)
830
831 return m
832
833
834 if __name__ == "__main__":
835 m = Mul8_16_32_64()
836 main(m, ports=[m.a,
837 m.b,
838 m._intermediate_output,
839 m.output,
840 *m.part_ops,
841 *m.part_pts.values()])