add stub meeting page, TODO
[libreriscv.git] / HDL_workflow.mdwn
1 [[!toc ]]
2
3 ---
4
5 # HDL workflow
6
7 This section describes the workflow and some best practices for developing
8 the Libre-SOC hardware. We use nmigen, yosys and symbiyosys, and this
9 page is intended not just to help you get set up, it is intended to
10 help advise you of some tricks and practices that will help you become
11 effective team contributors.
12
13 It is particularly important to bear in mind that we are not just
14 "developing code", here: we are creating a "lasting legacy educational
15 resource" for other people to learn from, and for businesses and students
16 alike to be able to use, learn from and augment for their own purposes.
17
18 It is also important to appreciate and respect that we are funded under
19 NLNet's Privacy and Enhanced Trust Programme <http://nlnet.nl/PET>. Full
20 transparency, readability, documentation, effective team communication
21 and formal mathematical proofs for all code at all levels is therefore
22 paramount.
23
24 Therefore, we need not only to be "self-sufficient" (absolutely
25 under no circumstances critically reliant on somebody else's servers
26 **or protocols**) we also need to ensure that everything (including
27 all communication such as the mailing list archives) are recorded,
28 replicable, and accessible in perpetuity. Use of slack or a "forum"
29 either actively prevents or makes that much harder.
30
31 # Collaboration resources
32
33 The main message here: **use the right tool for the right job**.
34
35 * mailing list: general communication and discussion.
36 * irc channel #libre-soc on irc.libera.chat: real(ish)-time communication.
37 * bugtracker: task-orientated, goal-orientated *focussed* discussion.
38 * ikiwiki: document store, information store, and (editable) main website
39 * git repositories: code stores (**not binary or auto-generated output store**)
40 * ftp server (<https://ftp.libre-soc.org/>): large (temporary,
41 auto-generated) file store.
42
43 Note also the lack of a "forum" in the above list. this is very
44 deliberate. forums are a serious distraction when it comes to technical
45 heavily goal-orientated development. recent internet users may enjoy
46 looking up the "AOL metoo postings" meme.
47
48 Note also the complete lack of "social platforms". if we wanted to tell
49 everybody how much better each of us are than anyone else in the team,
50 how many times we made a commit (look at me, look at me, i'm so clever),
51 and how many times we went to the bathroom, we would have installed a
52 social media based project "management" system.
53
54 ## Main contact method: mailing list
55
56 To respect the transparency requirements, conversations need to be
57 public and archived (i.e not skype, not telegram, not discord,
58 and anyone seriously suggesting slack will be thrown to the
59 lions). Therefore we have a mailing list. Everything goes through
60 there. <https://lists.libre-soc.org/mailman/listinfo/libre-soc-dev>
61 therefore please do google "mailing list etiquette" and at the very
62 minimum look up and understand the following:
63
64 * This is a technical mailing list with complex topics. Top posting
65 is completely inappropriate. Don't do it unless you have mitigating
66 circumstances, and even then please apologise and explain ("hello sorry
67 using phone at airport flight soon, v. quick reply: ....")
68 * Always trim context but do not cut excessively to the point where people
69 cannot follow the discussion. Especially do not cut the attribution
70 ("On monday xxx wrote") of something that you are actually replying
71 to.
72 * Use inline replies i.e. reply at the point in the relevant part of
73 the conversation, as if you were actually having a conversation.
74 * Follow standard IETF reply formatting, using ">" for cascaded
75 indentation of other people's replies. If using gmail, please: SWITCH
76 OFF RICH TEXT EDITING.
77 * Please for god's sake do not use "my replies are in a different
78 colour". Only old and highly regarded people still using AOL are allowed
79 to get away with that (such as Mitch).
80 * Start a new topic with a relevant subject line. If an existing
81 discussion changes direction, change the subject line to reflect the
82 new topic (or start a new conversation entirely, without using the
83 "reply" button)
84 * DMARC is a pain on the neck. Try to avoid GPG signed messages. sigh.
85 * Don't send massive attachments. Put them online (no, not on facebook or
86 google drive or anywhere else that demands privacy violations) and provide
87 the link. Which should not require any kind of login to access. ask the
88 listadmin if you don't have anywhere suitable: FTP access can be arranged.
89
90 ### Actionable items from mailing list
91
92 If discussions result in any actionable items, it is important not to
93 lose track of them. Create a bugreport, find the discussion in the
94 archives <https://lists.libre-soc.org/pipermail/libre-soc-dev/>,
95 and put the link actually in the bugtracker as one of the comments.
96
97 At some point in any discussion, the sudden realisation may dawn on one
98 or more people that this is an "actionable" discussion. at that point
99 it may become better to use <https://bugs.libre-soc.org/>
100 itself to continue the discussion rather than to keep on dropping copies
101 of links into the bugtracker. The bugtracker sends copies of comments
102 *to* the list however this is 'one-way' (note from lkcl: because this
103 involves running an automated perl script from email, on every email,
104 on the server, that is a high security risk, and i'm not doing it. sorry.)
105
106 ### Mailing list != editable document store
107
108 Also, please do not use the mailing list as an "information or document
109 store or poor-man's editor" **including not sending large images**.
110 We have the wiki for that. Edit a page and
111 tell people what you did (summarise rather than drop the entire contents
112 at the list) and include the link to the page.
113
114 Or, if it is more appropriate, commit a document (or source code)
115 into the relevant git repository then look up the link in the gitweb
116 source tree browser and post that (in the bugtracker or mailing list)
117 See <https://git.libre-soc.org/>
118
119 ### gmail "spam"ifying the list
120
121 See <https://blog.kittycooper.com/2014/05/keeping-my-mailing-list-emails-out-of-gmails-spam-folder/>
122
123 Basically it is possible to select any message from the list, create a
124 "filter" (under "More"), and, on the 2nd dialog box, click the "never
125 send this to Spam" option.
126
127 ## Bugtracker
128
129 * **LibreSOC bug/task process**: [[libresoc_bug_process]]
130
131 bugzilla. old and highly effective. sign up in the usual way. any
132 problems, ask on the list.
133
134 Please do not ask for the project to be transferred to github or other
135 proprietary nonfree service "because it's soooo convenient", as the
136 lions are getting wind and gout from overfeeding on that one.
137
138 one.
139
140 ## ikiwiki
141
142 Runs the main libre-soc.org site (including this page). effective,
143 stunningly light on resources, and uses a git repository not a database.
144 That means it can be edited offline.
145
146 Usual deal: register an account and you can start editing and contributing
147 straight away.
148
149 Hint: to create a new page, find a suitable page that would link to it,
150 first, then put the link in of the page you want to create, as if the
151 page already exists. Save that page, and you will find a question mark
152 next to the new link you created. click that link, and it will fire up a
153 "create new page" editor.
154
155 Wiki pages are formatted in [[markdown|ikiwiki/markdown]] syntax.
156
157 Hint again: the wiki is backed by a git repository. Don't go overboard
158 but at the same time do not be afraid that you might "damage" or "lose"
159 pages. Although it would be a minor pain, the pages can always be
160 reverted or edited by the sysadmins to restore things if you get in a tiz.
161
162 Assistance in creating a much better theme greatly appreciated. e.g.
163 <http://www.math.cmu.edu/~gautam/sj/blog/20140720-ikiwiki-navbar.html>
164
165 ## git
166
167 We use git. More on this below. We also use
168 [gitolite3](https://gitolite.com/gitolite/) running on a dedicated server.
169 again, it is extremely effective and low resource utilisation. Reminder:
170 lions are involved if github is mentioned.
171
172 [gitweb](https://git.wiki.kernel.org/index.php/Gitweb) is provided which
173 does a decent job. <https://git.libre-soc.org/>
174
175 [Git](https://en.wikipedia.org/wiki/Git) does version control, ie it
176 tracks changes to files so that previous versions can be got back or
177 compared.
178
179 Checklist page [[HDL_workflow/git_checklist]]
180
181 ## ftp server
182
183 <https://ftp.libre-soc.org/> is available for storing large files
184 that do not belong in a git repository, if we have (or ever need)
185 any. Images (etc.) if small and appropriate should go into the
186 wiki, however .tgz archives (etc.) and, at some point, binaries,
187 should be on the ftp server.
188
189 Ask on the list if you have a file that belongs on the ftp server.
190
191 ## server
192
193 As an aside: all this is "old school" and run on a single core 512MB
194 VM with only a 20GB HDD allocation. it costs only 8 GBP per month from
195 mythic-beasts and means that the project is in no way dependent on anyone
196 else - not microsoft, not google, not facebook, not amazon.
197
198 We tried [gitlab](https://about.gitlab.com/). it didn't go well. please
199 don't ask to replace the above extremely resource-efficient services
200 with it.
201
202 # Hardware
203
204 RAM is the biggest requirement. Minimum 16GB, the more the better (32
205 or 64GB starts to reach "acceptable" levels. Disk space is not hugely
206 critical: 256GB SSD should be more than adequate. Simulations and
207 FPGA compilations however are where raw processing power is a must.
208 High end Graphics Cards are nonessential.
209
210 What is particularly useful is to have hi-res screens (curved is
211 *strongly* recommended if the LCD is over 24in wide, to avoid eyeballs
212 going "prism" through long term use), and to have several of them: the
213 more the better. Either a DisplayLink UD160A (or more modern variant)
214 or simply using a second machine (lower spec hardware because it will
215 run editors) is really effective.
216
217 Also it is really recommended to have a UHD monitor (4k - 3840x2160),
218 or at least 2560x1200. If given a choice, 4:3 aspect ratio is better
219 than 16:9 particularly when using several of them. However, caveat
220 (details below): please when editing do not assume that everyone will
221 have access to such high resolution screens.
222
223 # Operating System
224
225 First install and become familiar with
226 [Debian](https://www.debian.org/) ([Ubuntu](https://ubuntu.com/)
227 if you absolutely
228 must) for standardisation cross-team and so that toolchain installation
229 is greatly simplified. yosys in particular warns that trying to use
230 Windows, BSD or MacOS will get you into a world of pain.
231
232 Only a basic GUI desktop is necessary: fvwm2, xfce4, lxde are perfectly
233 sufficient (alongside wicd-gtk for network management). Other more
234 complex desktops can be used however may consume greater resources.
235
236 # editors and editing
237
238 Whilst this is often a personal choice, the fact that many editors are
239 GUI based and run full-screen with the entire right hand side *and* middle
240 *and* the majority of the left side of the hi-res screen entirely unused
241 and bereft of text leaves experienced developers both amused and puzzled.
242
243 At the point where such full-screen users commit code with line lengths
244 well over 160 characters, that amusement quickly evaporates.
245
246 Where the problems occur with full-screen editor usage is when a project
247 is split into dozens if not hundreds of small files (as this one is). At
248 that point it becomes pretty much essential to have as many as six to
249 eight files open *and on-screen* at once, without overlaps i.e. not in
250 hidden tabs, next to at least two if not three additional free and clear
251 terminals into which commands are regularly and routinely typed (make,
252 git commit, nosetests3 etc). Illustrated with the following 3840x2160
253 screenshot (click to view full image), where *every one* of those 80x70
254 xterm windows is *relevant to the task at hand*.
255
256 [[!img 2020-01-24_11-56.png size=640x ]]
257
258 (hint/tip: fvwm2 set up with "mouse-over to raise focus, rather than
259 additionally requiring a mouse click, can save a huge amount of cumulative
260 development time here, switching between editor terminal(s) and the
261 command terminals).
262
263 Once this becomes necessary, it it turn implies that having greater
264 than 80 chars per line - and running editors full-screen - is a severe
265 hinderance to an essential *and highly effective* workflow technique.
266
267 Additionally, care should be taken to respect that not everyone will have
268 200+ column editor windows and the eyesight of a hawk. They may only have
269 a 1280 x 800 laptop which barely fits two 80x53 xterms side by side.
270 Consequently, having excessively long functions is also a hindrance to
271 others, as such developers with limited screen resources would need to
272 continuously page-up and page-down to read the code even of a single
273 function, in full.
274
275 This helps explain in part, below, why compliance with
276 [pep8](https://pep8.org/) is enforced, including its 80 character limit.
277 In short: not everyone has the same "modern" GUI workflow or has access
278 to the same computing resources as you, so please do respect that.
279
280 More on this concept is
281 [here](https://www.linuxjournal.com/content/line-length-limits).
282 Note *very pointedly* that Linus Torvalds *specifically* states that
283 he does not want Linux kernel development to become the exclusive
284 domain of the "wealthy". That means **no** to assumptions about
285 access to ultra-high resolution screens.
286
287 # Software prerequisites<a name="software-prerequisites"></a>
288
289 **Please make sure if you install manually that you install dependencies
290 in strict order. Failing to adhere to this will result in pip3 downloading
291 unauthorised older software versions. See
292 <http://lists.libre-soc.org/pipermail/libre-soc-dev/2021-September/003666.html>**
293
294 Whilst many resources online advocate "`sudo`" in front of all root-level
295 commands below, this quickly becomes tiresome. run "`sudo bash`", get a
296 root prompt, and save yourself some typing.
297
298 * sudo bash
299 * apt-get install vim exuberant-ctags
300 * apt-get install build-essential
301 * apt-get install git python3.7 python3.7-dev python3-nose
302 * apt-get install graphviz xdot gtkwave
303 * apt-get install python3-venv
304 * apt-get install python-virtualenv # this is an alternative to python3-venv
305 * apt-get install tcl-dev libreadline-dev bison flex libffi-dev iverilog
306 * return to user prompt (ctrl-d)
307
308 (The above assumes that you are running Debian.)
309
310 This will get you python3 and other tools that are
311 needed. [graphviz](https://graphviz.org/) is essential
312 for showing the interconnections between cells, and
313 [gtkwave](http://gtkwave.sourceforge.net/) is essential for debugging.
314
315 If you would like to save yourself a lot more typing, check out the
316 [dev-env-setup](https://git.libre-soc.org/?p=dev-env-setup.git;a=summary)
317 repository, examine the scripts there and use them to automate much of
318 the process below.
319
320 If you would like just to install only the apt dependencies use
321 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD) instead.
322
323 This page gives more details and a step by step process : [[HDL_workflow/devscripts]]
324
325 ## git
326
327 Look up good tutorials on how to use git effectively. There are so many
328 it is hard to recommend one. This is however essential. If you are not
329 comfortable with git, and you let things stay that way, it will seriously
330 impede development progress.
331
332 If working all day you should expect to be making at least two commits per
333 hour, so should become familiar with it very quickly. If you are *not*
334 doing around 2 commits per hour, something is wrong and you should read
335 the workflow instructions below more carefully, and also ask for advice
336 on the mailing list.
337
338 Worth noting: *this project does not use branches*. All code is committed
339 to master and we *require* that it be either zero-impact additions or that
340 relevant unit tests pass 100%. This ensures that people's work does not
341 get "lost" or isolated and out of touch due to major branch diversion,
342 and that people communicate and coordinate with each other.
343
344 This is not a hard rule: under special cirmstances branches can be useful.
345 They should not however be considered "routine".
346
347 For advice on commit messages see
348 [here](https://tbaggery.com/2008/04/19/a-note-about-git-commit-messages.html),
349 and [here](https://github.com/torvalds/subsurface-for-dirk/blob/master/README.md#contributing)).
350
351 ## yosys
352
353 Follow the source code (git clone) instructions here, do **not** use
354 the "stable" version (do not download the tarball):
355 <https://github.com/YosysHQ/yosys>
356
357 Or, alternatively, use the
358 [hdl-tools-yosys](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-tools-yosys;hb=HEAD)
359 script (which also installs symbiyosys and its dependencies)
360
361 Do not try to use a fixed revision of yosys (currently 0.9), nmigen is
362 evolving and frequently interacts with yosys.
363
364 [Yosys](https://github.com/YosysHQ/yosys is a framework for Verilog RTL.
365 [Verilog](https://en.wikipedia.org/wiki/Verilog) is a hardware description
366 language.
367 RTL [Register Transfer
368 Level](https://en.wikipedia.org/wiki/Register-transfer_level)
369 models how data moves between
370 [registers](https://en.wikipedia.org/wiki/Hardware_register).
371
372 ## symbiyosys
373
374 To install follow the [instructions
375 here](https://symbiyosys.readthedocs.io/en/latest/install.html)
376 Once done look at [A simple BMC
377 example](https://symbiyosys.readthedocs.io/en/latest/quickstart.html)
378
379 You do not have to install all of those (avy, boolector can be left
380 out if desired) however the more that are installed the more effective
381 the formal proof scripts will be (less resource utilisation in certain
382 circumstances).
383
384 [SymbiYosys](https://symbiyosys.readthedocs.io/en/latest/) (sby) is a
385 front-end driver program for Yosys-based formal hardware verification
386 flows.
387
388 ## nmigen (TM)
389
390 *nmigen is a registered trademark of M-Labs <https://uspto.report/TM/88980893>*
391
392 **PLEASE NOTE: it is critical to install nmigen as the first dependency
393 prior to installing any further python-based Libre-SOC HDL repositories.
394 If "pip3 list" shows that nmigen has been auto-installed please remove it**
395
396 [nmigen](https://m-labs.hk/gateware/nmigen/) may be installed as follows:
397
398 * mkdir ~/src
399 * cd !$
400 * git clone https://gitlab.com/nmigen/nmigen.git
401 * cd nmigen
402 * sudo bash
403 * python3 setup.py develop
404 * ctrl-d
405
406 Testing can then be carried out with "python3 setup.py test"
407
408 nmigen is a Python toolbox for building complex digital hardware.
409
410 ## Softfloat and sfpy
411
412 These are a test suite dependency for the
413 [ieee754fpu](https://www.gaisler.com/index.php/products/ipcores/ieee754fpu)
414 library, and will be changed in the future to use Jacob's
415 [simple-soft-float](https://crates.io/crates/simple-soft-float) library.
416 In the meantime, sfpy can be built as follows:
417
418 git clone --recursive https://github.com/billzorn/sfpy.git
419 cd sfpy
420 git apply /path/to/ieee754fpu/sfpy.patch
421 cd SoftPosit
422 git apply ../softposit_sfpy_build.patch
423 git apply /path/to/ieee754fpu/SoftPosit.patch
424 cd ../berkely-softfloat-3
425 # Note: Do not apply the patch included in sfpy for berkely-softfloat,
426 # it contains the same changes as this one
427 git apply /path/to/ieee754fpu/berkeley-softfloat.patch
428 cd ..
429
430 # prepare a virtual environment for building
431 python3 -m venv .env
432
433 # or, if you prefer the old way:
434 # virtualenv -p python3 .env
435
436 # install dependencies
437 source .env/bin/activate
438 pip3 install --upgrade -r requirements.txt
439
440 # build
441 make lib -j$(nproc)
442 make cython
443 make inplace -j$(nproc)
444 make wheel
445
446 # install
447 deactivate # deactivates venv, optional
448 pip3 install dist/sfpy*.whl
449
450 You can test your installation by doing the following:
451
452 python3
453 >>> from sfpy import Posit8
454 >>> Posit8(1.3)
455
456 It should print out `Posit8(1.3125)`
457
458 ## qemu, cross-compilers, gdb
459
460 As we are doing POWER ISA, POWER ISA compilers, toolchains and
461 emulators are required.
462 Again, if you want to save yourself some typing, use the dev scripts.
463 [install-hdl-apt-reqs](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD)
464 script will install the qemu;
465 [ppc64-gdb-gcc](https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=ppc64-gdb-gcc;hb=HEAD)
466 script will install the toolchain and the corresponding debugger.
467 The steps are provided below only for reference; when in doubt,
468 consider checking and running the scripts.
469
470 Install powerpc64 gcc:
471
472 apt-get install gcc-8-powerpc64-linux-gnu
473
474 Install qemu:
475
476 apt-get install qemu-system-ppc
477
478 Install gdb from source. Obtain the required tarball matching
479 the version of gcc (8.3) from here <https://ftp.gnu.org/gnu/gdb/>,
480 unpack it, then:
481
482 cd gdb-8.3 (or other location)
483 mkdir build
484 cd build
485 ../configure --srcdir=.. --host=x86_64-linux --target=powerpc64-linux-gnu
486 make -j$(nproc)
487 make install
488
489 [gdb](https://en.wikipedia.org/wiki/GNU_Debugger) lets you debug running
490 programs. [qemu](https://www.qemu.org/) emulates processors, you can
491 run programs under qemu.
492
493 ## power-instruction-analyzer (pia)
494
495 We have a custom tool built in Rust by programmerjake to help analyze
496 the OpenPower instructions' execution on *actual* hardware.
497
498 Install Rust:
499
500 curl --proto '=https' --tlsv1.2 -sSf https://sh.rustup.rs | sh
501
502 Make sure we have the correct and up-to-date rust compiler (rustc & cargo):
503
504 rustup default stable
505 rustup update
506
507 Install the Python extension from git source by doing the following:
508
509 git clone https://salsa.debian.org/Kazan-team/power-instruction-analyzer.git pia
510 cd pia
511 ./libre-soc-install.sh
512
513 ## Chips4Makers JTAG
514
515 As this is an actual ASIC, we do not rely on an FPGA's JTAG TAP
516 interface, instead require a full complete independent implementation
517 of JTAG. Staf Verhaegen has one, with a full test suite, and it is
518 superb and well-written. The Libre-SOC version includes DMI (Debug
519 Memory Interface):
520
521 git clone https://git.libre-soc.org/git/c4m-jtag.git/
522 cd c4m-jtag
523 python3 setup.py develop
524
525 Included is an IDCODE tap point, Wishbone Master (for direct memory read
526 and write, fully independent of the core), IOPad redirection and testing,
527 and general purpose shift register capability for any custom use.
528
529 We added a DMI to JTAG bridge in LibreSOC which is
530 directly connected to the core, to access registers and
531 to be able to start and stop the core and change the PC.
532 In combination with the JTAG Wishbone interface the test
533 [ASIC](https://en.wikipedia.org/wiki/Application-specific_integrated_circuit)
534 can have a bootloader uploaded directly into onboard
535 [SRAM](https://en.wikipedia.org/wiki/Static_random-access_memory) and
536 execution begun.
537
538 [Chips4Makers](https://chips4makers.io/) make it possible for makers
539 and hobbyists to make their own open source chips.
540
541 [JTAG](https://en.wikipedia.org/wiki/JTAG) (Joint Test Action Group) is
542 an industry standard for verifying designs and testing printed circuit
543 boards after manufacture.
544
545 The [Wishbone
546 bus](https://en.wikipedia.org/wiki/Wishbone_%28computer_bus%29) is an open
547 source hardware computer bus intended to let the parts of an integrated
548 circuit communicate with each other.
549
550 ## Coriolis2
551 See [[HDL_workflow/coriolis2]] page, for those people doing layout work.
552
553 ## Nextpnr
554
555 A portable FPGA place and route tool.
556
557 See [[HDL_workflow/nextpnr]] page for installation instructions of nextpnr with ECP5 support for Lattice FPGA ECP5 series. Also see
558 [[HDL_workflow/ECP5_FPGA]] for connecting up to JTAG with a ULX3S
559 and the Lattice VERSA_ECP5.
560
561 ## Nextpnr-xilinx
562
563 An open source place and route framework for Xilinx FPGAs using Project Xray. We will use it for Xilinx 7-series FPGAs like Artix-7.
564
565 One of the ways to get Arty A7 100t Digilent FPGA board working.
566
567 See [[HDL_workflow/nextpnr-xilinx]] for installation instructions and dependencies.
568
569
570 ## Verilator
571
572 The fastest Verilog and SystemVerilog simulator. It compiles Verilog to C++ or SystemC.
573
574 Advise use only v4.106 at the moment.
575
576 See [[HDL_workflow/verilator]] page for installation instructions.
577
578 ## GHDL
579
580 GHDL is a shorthand for G Hardware Design Language. It is a VHDL analyzer, compiler, simulator and (experimental) synthesizer that can process (nearly) any VHDL design.
581
582 VHDL is an acronym for Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (HDL), which is a programming language used to describe a logic circuit by function, data flow behavior, or structure.
583
584 Unlike some other simulators, GHDL is a compiler: it directly translates a VHDL file to machine code, without using an intermediary language such as C or C++. Therefore, the compiled code should be faster and the analysis time should be shorter than with a compiler using an intermediary language.
585
586 GHDL aims at implementing VHDL as defined by IEEE 1076. It supports the 1987, 1993 and 2002 revisions and, partially, 2008. PSL is also partially supported.
587
588 See [[HDL_workflow/ghdl]] page for installation instructions.
589
590 ## Icarus Verilog
591
592 Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format.
593
594 See [[HDL_workflow/iverilog]] page for installation instructions.
595
596 ## Cocotb
597
598 cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL and SystemVerilog RTL using Python.
599
600 See [[HDL_workflow/cocotb]] page for installation instructions.
601
602 ## Symbiflow
603
604 A fully open source toolchain for the development of FPGAs. Currently it targets Xilinx 7-series, Lattice iCE40 and ECP5, Quicklogic EOS S3.
605
606 One way to get the Arty A7 100t Digilent FPGA board working.
607
608 See [[HDL_workflow/symbiflow]] for installation instructions
609 and dependencies.
610
611 ## FPGA/Board Boot-Loaders-Programmers
612
613 Open source FPGA/Board boot-loaders and programmers for ULX3S, ECP5 and
614 OrangeCrab.
615
616 Currently these programs dfu-util, openFPGALoader, ujprog, fujprog,
617 xc3sprog and ecpprog are going to be used.
618
619 See [[HDL_workflow/fpga-boot-loaders-progs]] for installation instructions and dependencies.
620
621 ## ls2 peripheral fabric
622
623 [[HDL_workflow/ls2]]
624
625 # Registering for git repository access<a name="gitolite3_access"></a>
626
627 After going through the onboarding process and having agreed to take
628 responsibility for certain tasks, ask on the mailing list for git
629 repository access, sending in a public key (`id_rsa.pub`). If you do
630 not have one then generate it with `ssh-keygen -t rsa`. You will find it
631 in `~/.ssh`
632
633 NEVER SEND ANYONE THE PRIVATE KEY. By contrast the public key, on
634 account of being public, is perfectly fine to make... err... public.
635
636 Create a file `~/.ssh/config` with the following lines:
637
638 Host git.libre-soc.org
639 Port 922
640
641 Test that you have access with this command:
642
643 ssh -v -p922 gitolite3@git.libre-soc.org
644
645 Please note: **DO NOT TYPE A PASSWORD** - the server gets hit by a lot of
646 port-scanning, and detection of password failures are used to instantly
647 ban IP addresses.
648
649 Wait for the Project Admin to confirm that the ssh key has been added
650 to the required repositories. Once confirmed, you can clone any of the
651 repos at https://git.libre-soc.org/:
652
653 git clone gitolite3@git.libre-soc.org:REPONAME.git
654
655 Alternatively, the .ssh/config can be skipped and this used:
656
657 git clone ssh://gitolite3@git.libre-soc.org:922/REPONAME.git
658
659 Note: **DO NOT ATTEMPT TO LOG IN TO THE SERVER WITH A PERSONAL ACCOUNT**.
660 fail2ban is running and, due to repeated persistent port-scanning spammers
661 is set up to instantly ban any unauthorised ssh access for up to two weeks.
662 This keeps log file sizes down on the server (which is resource-constrained).
663 If you are wondering why this is done, it's a *lot* of port-scans.
664
665 Therefore, *only* ssh in to server with the gitolite3 account, *only*
666 on port 922, and *only* once the systems administrator has given you
667 the all-clear that the ssh key has been added.
668
669 # git configuration
670
671 Although there are methods online which describe how (and why) these
672 settings are normally done, honestly it is simpler and easier to open
673 ~/.gitconfig and add them by hand.
674
675 core.autocrlf is a good idea to ensure that anyone adding DOS-formatted
676 files they don't become a pain. pull.rebase is something that is greatly
677 preferred for this project because it avoids the mess of "multiple
678 extra merge git tree entries", and branch.autosetuprebase=always will,
679 if you want it, always ensure that a new git checkout is set up with rebase.
680
681 [core]
682 autocrlf = input
683 [push]
684 default = simple
685 [pull]
686 rebase = true
687 [branch]
688 autosetuprebase = always
689
690 # Checking out the HDL repositories
691
692 Before running the following, install the
693 dependencies. This is easiest done with this script
694 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=install-hdl-apt-reqs;hb=HEAD>
695
696 **It is critically important to install these in STRICT order, otherwise
697 pip3 interferes and performs unauthorised downloads without informing
698 you of what it is doing**.
699
700 * mkdir ~/src
701 * cd !$
702 * git clone https://gitlab.com/nmigen/nmigen
703 * git clone https://gitlab.com/nmigen/nmigen-boards
704 * git clone https://gitlab.com/nmigen/nmigen-soc
705 * git clone https://gitlab.com/nmigen/nmigen-stdio
706 * git clone gitolite3@git.libre-soc.org:c4m-jtag.git
707 * git clone gitolite3@git.libre-soc.org:nmutil.git
708 * git clone gitolite3@git.libre-soc.org:openpower-isa.git
709 * git clone gitolite3@git.libre-soc.org:ieee754fpu.git
710 * git clone gitolite3@git.libre-soc.org:soc.git
711
712 In each of these directories, **in the order listed**, track down the
713 `setup.py` file, then, as root (`sudo bash`), run the following:
714
715 * python3 setup.py develop
716
717 The reason for using "develop" mode is that the code may be edited
718 in-place yet still imported "globally". There are variants on this theme
719 for multi-user machine use however it is often just easier to get your
720 own machine these days.
721
722 The reason for the order is because soc depends on ieee754fpu, and
723 ieee754fpu depends on nmutil. If you do not follow the listed order
724 pip3 will go off and download an arbitrary version without your
725 consent.
726
727 If "`python3 setup.py install`" is used it is a pain: edit, then
728 install. edit, then install. It gets extremely tedious, hence why
729 "develop" was created.
730
731 If you prefer you can use this script instead: of course you checked it
732 in advance and accept full responsibility.
733 <https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-repos;hb=HEAD>
734
735 # Development Rules
736
737 Team communication:
738
739 * new members, add yourself to the [[about_us]] page and create yourself
740 a home page using someone else's page as a template.
741 * communicate on the mailing list or the bugtracker an intent to take
742 responsibility for a particular task.
743 * assign yourself as the bug's owner
744 * *keep in touch* about what you are doing, and why you are doing it.
745 * edit your home page regularly, particularly to track tasks so that
746 they can be paid by NLNet.
747 * if you cannot do something that you have taken responsibility for,
748 then unless it is a dire personal emergency please say so, on-list. we
749 won't mind. we'll help sort it out.
750
751 Regarding the above it is important that you read, understand, and agree
752 to the [[charter]] because the charter is about ensuring that we operate
753 as an effective organisation. It's *not* about "setting rules and meting
754 out punishment".
755
756 ## Coding
757
758 for actual code development
759
760 ### Plan unit tests
761
762 * plan in advance to write not just code but a full test suite for
763 that code. **this is not optional**. large python projects that do not
764 have unit tests **FAIL** (see separate section below).
765 * Prioritise writing formal proofs and a single clear unit test that is more
766 like a "worked example".
767 We receive NLNet funds for writing formal proofs, plus they
768 cover corner cases and take far less time to write
769
770 ### Commit tested or zero-dependent code
771
772 * only commit code that has been tested (or is presently unused). other
773 people will be depending on you, so do take care not to screw up.
774 not least because, as it says in the [[charter]] it will be your
775 responsibility to fix. that said, do not feel intimidated: ask for help
776 and advice, and you'll get it straight away.
777
778 ### Commit often
779
780 * commit often. several times a day, and "git push" it. this is
781 collaboration. if something is left even overnight uncommitted and not
782 pushed so that other people can see it, it is a red flag.
783 * if you find
784 yourself thinking "i'll commit it when it's finished" or "i don't want to
785 commit something that people might criticise" *this is not collaboration*,
786 it is making yourself a bottleneck. pair-programming is supposed to help
787 avoid this kind of thing however pair-programming is difficult to organise
788 for remote collaborative libre projects (suggestions welcomed here)
789
790 ### Enable editor auto-detection of file changes by external programs
791
792 This is important. "`git pull`" will merge in changes. If you then
793 arbitrarily save a file without re-loading it, you risk destroying
794 other people's work.
795
796 You can avoid damaging the repositories by following some simple procedures:
797
798 run appropriate unit tests
799 git pull
800 run appropriate unit tests again (checks other people's work)
801 git diff # and actually read and review the output
802 git status # check for any missing files
803 git commit # with appropriate arguments and message
804 git push # always always always do this
805
806 ### Absolutely no auto-generated output
807
808 * **do not commit autogenerated output**. write a shell script and commit
809 that, or add a `Makefile` to run the command that generates the output, but
810 **do not** add the actual output of **any** command to the repository.
811 ever. this is really important. even if it is a human-readable file
812 rather than a binary object file.
813 * it is very common to add PDFs (the result of running `latex2pdf`) or
814 configure.in (the result of running `automake`), they are an absolute
815 nuisance and interfere hugely with git diffs, as well as waste hard
816 disk space *and* network bandwidth. don't do it.
817 * do not add multi-megabyte or multi-gigabyte "test data".
818 use shell scripts and commit that, which automatically downloads the
819 "test data" from a well-known known-good reliable location instead.
820
821 ### Write commands that do tasks and commit those
822
823 * if the command needed to create any given autogenerated output is not
824 currently in the list of known project dependencies, first consult on
825 the list if it is okay to make that command become a hard dependency of
826 the project (hint: java, node.js php and .NET commands may cause delays
827 in response time due to other list participants laughing hysterically),
828 and after a decision is made, document the dependency and how its source
829 code is obtained and built (hence why it has to be discussed carefully)
830 * if you find yourself repeating commands regularly, chances are high
831 that someone else will need to run them, too. clearly this includes
832 yourself, therefore, to make everyone's lives easier including your own,
833 put them into a `.sh` shell script (and/or a `Makefile`), commit them to
834 the repository and document them at the very minimum in the README,
835 INSTALL.txt or somewhere in a docs folder as appropriate. if unsure,
836 ask on the mailing list for advice.
837
838 ### Keep commits single-purpose
839
840 * edit files making minimal *single purpose* modifications (even if
841 it involves multiple files. Good extreme example: globally changing
842 a function name across an entire codebase is one purpose, one commit,
843 yet hundreds of files. miss out one of those files, requiring multiple
844 commits, and it actually becomes a nuisance).
845
846 ### Run unit tests prior to commits
847
848 * prior to committing make sure that relevant unit tests pass, or that
849 the change is a zero-impact addition (no unit tests fail at the minimum)
850
851 ### Do not break existing code
852
853 * keep working code working **at all times**. find ways to ensure that
854 this is the case. examples include writing alternative classes that
855 replace existing functionality and adding runtime options to select
856 between old and new code.
857
858 ### Small commits with relevant commit message
859
860 * commit no more than around 5 to 10 lines at a time, with a CLEAR message
861 (no "added this" or "changed that").
862 * if as you write you find that the commit message involves a *list* of
863 changes or the word "and", then STOP. do not proceed: it is a "red flag"
864 that the commit has not been properly broken down into separate-purpose
865 commits. ask for advice on-list on how to proceed.
866
867 ### Exceptions to small commit: atomic single purpose commit
868
869 * if it is essential to commit large amounts of code, ensure that it
870 is **not** in use **anywhere** by any other code. then make a *small*
871 (single purpose) followup commit which actually puts that code into use.
872
873 This last rule is kinda flexible, because if you add the code *and* add
874 the unit test *and* added it into the main code *and* ran all relevant
875 unit tests on all cascade-impacted areas by that change, that's perfectly
876 fine too. however if it is the end of a day, and you need to stop and
877 do not have time to run the necessary unit tests, do *not* commit the
878 change which integrates untested code: just commit the new code (only)
879 and follow up the next day *after* running the full relevant unit tests.
880
881 ### Why such strict rules?
882
883 The reason for all the above is because python is a dynamically typed
884 language. make one tiny change at the base level of the class hierarchy
885 and the effect may be disastrous.
886
887 It is therefore worth reiterating: make absolutely certain that you *only*
888 commit working code or zero-impact code.
889
890 Therefore, if you are absolutely certain that a new addition (new file,
891 new class, new function) is not going to have any side-effects, committing
892 it (a large amount of code) is perfectly fine.
893
894 As a general rule, however, do not use this an an excuse to write code
895 first then write unit tests as an afterthought. write *less* code *in
896 conjunction* with its (more basic) unit tests, instead. then, folliw up with
897 additions and improvements.
898
899 The reason for separating out commits to single purpose only becomes
900 obvious (and regretted if not followed) when, months later, a mistake
901 has to be tracked down and reverted. if the commit does not have an
902 easy-to-find message, it cannot even be located, and once found, if the
903 commit confuses several unrelated changes, not only the diff is larger
904 than it should be, the reversion process becomes extremely painful.
905
906 ### PHP-style python format-strings
907
908 As the name suggests, "PHP-style" is not given as a compliment.
909 Format-strings - `f"{variable} {pythoncodefragment}" are a nightmare
910 to read. The lesson from PHP, Zope and Plone: when code is embedded,
911 the purpose of the formatting - the separation of the format from
912 the data to be placed in it - is merged, and consequently become
913 unreadable.
914
915 By contrast, let us imagine a situation where 12 variables need to
916 be inserted into a string, four of which are the same variablename:
917
918 x = "%s %s %s %s %s %s %s %s %s %s %s %s" % (var1, var2, var3,
919 var3, var4, var2,
920 var1, var9, var1,
921 var3, var4, var1)
922
923 This is just as unreadable, but for different reasons. Here it *is*
924 useful to do this as:
925
926 x = f"{var1} {var2} {var3}" \
927 ...
928 f"{var3} {var4} {var1}"
929
930 As a general rule, though, format-specifiers should be strongly
931 avoided, given that they mix even variable-names directly inside
932 a string.
933
934 This additionally gives text editors (and online web syntax
935 highlighters) the opportunity to colour syntax-highlight the
936 ASCII string (the format) from the variables to be inserted *into*
937 that format. gitweb for example (used by this project) cannot
938 highlight string-formatted code.
939
940 It turns out that colour is processed by the **opposite** hemisphere
941 of the brain from written language. Thus, colour-syntax-highlighting
942 is not just a "nice-to-have", it's **vital** for easier and faster
943 identification of context and an aid to rapid understanding.
944
945 Anything that interferes with that - such as python format-strings -
946 has to take a back seat, regardless of its perceived benefits.
947
948 **If you absolutely must** use python-format-strings, **only** do
949 so by restricting to variables. Create temporary variables if you
950 have to.
951
952 y = '/'.join(a_list)
953 x = f"{y}"
954
955 ### PEP8 format
956
957 * all code needs to conform to pep8. use either pep8checker or better
958 run autopep8. however whenever committing whitespace changes, *make a
959 separate commit* with a commit message "whitespace" or "autopep8 cleanup".
960 * pep8 REQUIRES no more than 80 chars per line. this is non-negotiable. if
961 you think you need greater than 80 chars, it *fundamentally* indicates
962 poor code design. split the code down further into smaller classes
963 and functions.
964
965 ### Docstring checker
966
967 * TBD there is a docstring checker. at the minimum make sure to have
968 an SPD license header, module header docstring, class docstring and
969 function docstrings on at least non-obvious functions.
970
971 ### Clear code commenting and docstrings
972
973 * make liberal but not excessive use of comments. describe a group of
974 lines of code, with terse but useful comments describing the purpose,
975 documenting any side-effects, and anything that could trip you or other
976 developers up. unusual coding techniques should *definitely* contain
977 a warning.
978
979 ### Only one class per module (ish)
980
981 * unless they are very closely related, only have one module (one class)
982 per file. a file only 25 lines long including imports and docstrings
983 is perfectly fine however don't force yourself. again, if unsure,
984 ask on-list.
985
986 ### File and Directory hierarchy
987
988 * *keep files short and simple*. see below as to why
989 * create a decent directory hierarchy but do not go mad. ask for advice
990 if unsure
991
992 ### No import star!
993
994 * please do not use "from module import \*". it is extremely bad practice,
995 causes unnecessary resource utilisation, makes code readability and
996 tracking extremely difficult, and results in unintended side-effects.
997
998 Example: often you want to find the code from which a class was imported.
999 nirmally you go to the top of the file, check the imports, and you know
1000 exactly which file has the class because of the import path. by using
1001 wildcards, you have absolutely *no clue* which wildcard imported which
1002 class or classes.
1003
1004 Example: sometimes you may accidentally have duplicate code maintained
1005 in two or more places. editing one of them you find, puzzlingly, that
1006 the code behaves in some files with the old behaviour, but in others it
1007 works. after a massive amount of investigation, you find that the working
1008 files happen to have a wildcard import of the newer accidental duplicate
1009 class **after** the wildcard import of the older class with exactly the
1010 same name. if you had used explicit imports, you would have spotted
1011 the double import of the class from two separate locations, immediately.
1012
1013 Really. don't. use. wildcards.
1014
1015 More about this here:
1016
1017 * <https://www.asmeurer.com/removestar/>
1018 * <https://rules.sonarsource.com/python/RSPEC-2208>
1019
1020 ### Keep file and variables short but clear
1021
1022 * try to keep both filenames and variable names short but not ridiculously
1023 obtuse. an interesting compromise on imports is "from ridiculousfilename
1024 import longsillyname as lsn", and to assign variables as well: "comb =
1025 m.d.comb" followed by multiple "comb += nmigen_stmt" lines is a good trick
1026 that can reduce code indentation by 6 characters without reducing clarity.
1027
1028 Additionally, use comments just above an obtuse variable in order to
1029 help explain what it is for. In combination with keeping the the module
1030 itself short, other readers will not need to scroll back several pages
1031 in order to understand the code.
1032
1033 Yes it is tempting to actually use the variables as
1034 self-explanatory-comments and generally this can be extremely good
1035 practice. the problem comes when the variable is so long that a function
1036 with several parameters csn no longer fit on a single line, and takes
1037 up five to ten lines rather than one or two. at that point, the length
1038 of the code is adversely affected and thus so is readability by forcing
1039 readers to scroll through reams of pages.
1040
1041 It is a tricky balance: basically use your common sense, or just ask
1042 someone else, "can you understand this code?"
1043
1044 ### Reasons for code structure
1045
1046 Regarding code structure: we decided to go with small modules that are
1047 both easy to analyse, as well as fit onto a single page and be readable
1048 when displayed as a visual graph on a full UHD monitor. this is done
1049 as follows:
1050
1051 * using the capability of nmigen (TODO crossref to example) output the
1052 module to a yosys ilang (.il) file
1053 * in a separate terminal window, run yosys
1054 * at the yosys prompt type "read_ilang modulename.il"
1055 * type "show top" and a graphviz window should appear. note that typing
1056 show, then space, then pressing the tab key twice will give a full list
1057 of submodules (one of which will be "top")
1058
1059 You can now fullsize the graphviz window and scroll around. if it looks
1060 reasonably obvious at 100% zoom, i.e the connections can be clearly
1061 related in your mind back to the actual code (by matching the graph names
1062 against signals and modules in the original nmigen code) and the words are
1063 not tiny when zoomed out, and connections are not total incomprehensible
1064 spaghetti, then congratulations, you have well-designed code. If not,
1065 then this indicates a need to split the code further into submodules
1066 and do a bit more work.
1067
1068 The reasons for doing a proper modularisation job are several-fold:
1069
1070 * firstly, we will not be doing a full automated layout-and-hope
1071 using alliance/coriolis2, we will be doing leaf-node thru tree node
1072 half-automated half-manual layout, finally getting to the floorplan,
1073 then revising and iteratively adjusting.
1074 * secondly, examining modules at the gate level (or close to it) is just
1075 good practice. poor design creeps in by *not* knowing what the tools
1076 are actually doing (word to experienced developers: yes, we know that
1077 the yosys graph != final netlist).
1078 * thirdly, unit testing, particularly formal proofs, is far easier on
1079 small sections of code, and complete in a reasonable time.
1080
1081 ## Special warning / alert to vim users!
1082
1083 Some time around the beginning of 2019 some bright spark decided that
1084 an "auto-recommend-completion-of-stuff" option would be a nice, shiny
1085 idea to enable by default from that point onwards.
1086
1087 This incredibly annoying "feature" results in tabs (or spaces) being
1088 inserted "on your behalf" when you press return on one line, for your
1089 "convenience" of not needing to type lots of spaces/tabs just to get
1090 to the same indentation level.
1091
1092 Of course, this "feature", if you press return on one line in edit
1093 mode and then press "escape", leaves a bundle-of-joy extraneous
1094 whitespace **exactly** where you don't want it, and didn't ask for it,
1095 pooped all over your file.
1096
1097 Therefore, *please*: **before** running "git commit", get into the
1098 habit of always running "git diff", and at the very minimum
1099 speed-skim the entire diff, looking for tell-tale "red squares"
1100 (these show up under bash diff colour-syntax-highlighting) that
1101 inform you that, without your knowledge or consent, vim has
1102 "helpfully" inserted extraneous whitespace.
1103
1104 Remove them **before** git committing because they are not part
1105 of the actual desired code-modifications, and committing them
1106 is a major and constant distraction for reviewers about actual
1107 important things like "the code that actually *usefully* was
1108 modified for that commit"
1109
1110 This has the useful side-effect of ensuring that, right before
1111 the commit, you've got the actual diff right in front of you
1112 in the xterm window, on which you can base the "commit message".
1113
1114 ## Unit tests
1115
1116 For further reading, see the wikipedia page on
1117 [Test-driven Development](https://en.wikipedia.org/wiki/Test-driven_development)
1118
1119 This deserves its own special section. It is extremely important to
1120 appreciate that without unit tests, python projects are simply unviable.
1121 Python itself has over 25,000 individual tests.
1122
1123 This can be quite overwhelming to a beginner developer, especially one
1124 used to writing scripts of only 100 lines in length.
1125
1126 Thanks to Samuel Falvo we learned that writing unit tests as a formal
1127 proof is not only shorter, it's also far more readable and also, if
1128 written properly, provides 100% coverage of corner-cases that would
1129 otherwise be overlooked or require tens to hundreds of thousands of
1130 tests to be run.
1131
1132 No this is not a joke or even remotely hypothetical, this is an actual
1133 real-world problem.
1134
1135 The ieee754fpu requires several hundreds of thousands of tests to be
1136 run (currently needing several days to run them all), and even then we
1137 cannot be absolutely certain that all possible combinations of input have
1138 been tested. With 2^128 permutations to try with 2 64 bit FP numbers
1139 it is simply impossible to even try.
1140
1141 This is where formal proofs come into play.
1142
1143 Samuel illustrated to us that "ordinary" unit tests can then be written
1144 to *augment* the formal ones, serving the purpose of illustrating how
1145 to use the module, more than anything.
1146
1147 However it is appreciated that writing formal proofs is a bit of a
1148 black art. This is where team collaboration particularly kicks in,
1149 so if you need help, ask on the mailing list.
1150
1151 ## Don't comment out unit tests: add them first (as failures) and fix code later
1152
1153 Unit tests serve an additional critical purpose of keeping track of code
1154 that needs to be written. In many cases, you write the unit test *first*,
1155 despite knowing full well that the code doesn't even exist or is completely
1156 broken. The unit test then serves as a constant and important reminder
1157 to actually fix (or write) the code.
1158
1159 Therefore, *do not* comment out unit tests just because they "don't work".
1160 If you absolutely must stop a unit test from running, **do not delete it**.
1161 Simply mark it with an appropriate
1162 ["skip" decorator](https://docs.python.org/3/library/unittest.html#skipping-tests-and-expected-failures),
1163 preferably with a link to a URL in the [bugtracker](https://bugs.libre-soc.org/)
1164 with further details as to why the unit test should not be run.
1165
1166 # Task management guidelines
1167
1168 1. Create the task in appropriate "Product" section with appropriate
1169 "Component" section. Most code tasks generally use "Libre-SOC's
1170 first SOC".
1171 2. Fill in "Depends on" and "Blocks" section whenever appropriate.
1172 Also add as many related ("See Also") links to other bugreports
1173 as possible. bugreports are never isolated.
1174 3. Choose the correct task for a budget allocation. Usually the parent
1175 task is used.
1176 4. Choose the correct NLnet milestone. The best practice is to check
1177 the parent task for a correct milestone.
1178 5. Assign the budget to the task in `"USER=SUM"` form, where "USER"
1179 corresponds to your username and "SUM" corresponds to the actual
1180 budget in EUR. There may be multiple users.
1181 6. When the task is completed, you can begin writing an RFP.
1182 **DO NOT submit it without explicit authorisation and review**.
1183 Leave out your bank and personal address details if you prefer
1184 when sending to the Team Manager for review.
1185 7. Once the RFP is written, notify the Team Manager and obtain their
1186 explicit approval to send it.
1187 8. Once approval is received and the RFP sent, update the `"USER=SUM"`
1188 field to include the submitted date:
1189 `"USER={amount=SUM, submitted=SDATE}"`. The SDATE is entered in
1190 `YYYY-MM-DD` form.
1191 9. Once the task is paid, again notify the Team Manager (IRC is fine),
1192 and update `"USER={amount=SUM, submitted=SDATE}"`
1193 to `"USER={amount=SUM, submitted=SDATE, paid=PDATE}"`. The PDATE is
1194 entered in `YYYY-MM-DD` form, too.
1195
1196 Throughout all of this you should be using budget-sync to check the
1197 database consistency
1198 <https://git.libre-soc.org/?p=utils.git;a=blob;f=README.txt;hb=HEAD>
1199
1200 [[!img bugzilla_RFP_fields.jpg size=640x ]]
1201
1202 # TODO Tutorials
1203
1204 Find appropriate tutorials for nmigen and yosys, as well as symbiyosys.
1205
1206 * Robert Baruch's nmigen tutorials look really good:
1207 <https://github.com/RobertBaruch/nmigen-tutorial>
1208 * Although a verilog example this is very useful to do
1209 <https://symbiyosys.readthedocs.io/en/latest/quickstart.html#first-step-a-simple-bmc-example>
1210 * This tutorial looks pretty good and will get you started
1211 <https://web.archive.org/web/20210123052724/http://blog.lambdaconcept.com/doku.php?id=nmigen:nmigen_install>
1212 and walks not just through simulation, it takes you through using
1213 gtkwave as well.
1214 * There exist several nmigen examples which are also executable
1215 <https://gitlab.com/nmigen/nmigen/tree/master/examples/> exactly as
1216 described in the above tutorial (python3 filename.py -h)
1217 * More nmigen tutorials at [[learning_nmigen]]