incorporate notes and comments
[libreriscv.git] / simple_v_extension.mdwn
1 # Variable-width Variable-packed SIMD / Simple-V / Parallelism Extension Proposal
2
3 Key insight: Simple-V is intended as an abstraction layer to provide
4 a consistent "API" to parallelisation of existing *and future* operations.
5 *Actual* internal hardware-level parallelism is *not* required, such
6 that Simple-V may be viewed as providing a "compact" or "consolidated"
7 means of issuing multiple near-identical arithmetic instructions to an
8 instruction queue (FILO), pending execution.
9
10 *Actual* parallelism, if added independently of Simple-V in the form
11 of Out-of-order restructuring (including parallel ALU lanes) or VLIW
12 implementations, or SIMD, or anything else, would then benefit *if*
13 Simple-V was added on top.
14
15 [[!toc ]]
16
17 # Introduction
18
19 This proposal exists so as to be able to satisfy several disparate
20 requirements: power-conscious, area-conscious, and performance-conscious
21 designs all pull an ISA and its implementation in different conflicting
22 directions, as do the specific intended uses for any given implementation.
23
24 Additionally, the existing P (SIMD) proposal and the V (Vector) proposals,
25 whilst each extremely powerful in their own right and clearly desirable,
26 are also:
27
28 * Clearly independent in their origins (Cray and AndesStar v3 respectively)
29 so need work to adapt to the RISC-V ethos and paradigm
30 * Are sufficiently large so as to make adoption (and exploration for
31 analysis and review purposes) prohibitively expensive
32 * Both contain partial duplication of pre-existing RISC-V instructions
33 (an undesirable characteristic)
34 * Both have independent and disparate methods for introducing parallelism
35 at the instruction level.
36 * Both require that their respective parallelism paradigm be implemented
37 along-side and integral to their respective functionality *or not at all*.
38 * Both independently have methods for introducing parallelism that
39 could, if separated, benefit
40 *other areas of RISC-V not just DSP or Floating-point respectively*.
41
42 Therefore it makes a huge amount of sense to have a means and method
43 of introducing instruction parallelism in a flexible way that provides
44 implementors with the option to choose exactly where they wish to offer
45 performance improvements and where they wish to optimise for power
46 and/or area (and if that can be offered even on a per-operation basis that
47 would provide even more flexibility).
48
49 Additionally it makes sense to *split out* the parallelism inherent within
50 each of P and V, and to see if each of P and V then, in *combination* with
51 a "best-of-both" parallelism extension, could be added on *on top* of
52 this proposal, to topologically provide the exact same functionality of
53 each of P and V. Each of P and V then can focus on providing the best
54 operations possible for their respective target areas, without being
55 hugely concerned about the actual parallelism.
56
57 Furthermore, an additional goal of this proposal is to reduce the number
58 of opcodes utilised by each of P and V as they currently stand, leveraging
59 existing RISC-V opcodes where possible, and also potentially allowing
60 P and V to make use of Compressed Instructions as a result.
61
62 **TODO**: propose overflow registers be actually one of the integer regs
63 (flowing to multiple regs).
64
65 **TODO**: propose "mask" (predication) registers likewise. combination with
66 standard RV instructions and overflow registers extremely powerful, see
67 Aspex ASP.
68
69 # Analysis and discussion of Vector vs SIMD
70
71 There are five combined areas between the two proposals that help with
72 parallelism without over-burdening the ISA with a huge proliferation of
73 instructions:
74
75 * Fixed vs variable parallelism (fixed or variable "M" in SIMD)
76 * Implicit vs fixed instruction bit-width (integral to instruction or not)
77 * Implicit vs explicit type-conversion (compounded on bit-width)
78 * Implicit vs explicit inner loops.
79 * Masks / tagging (selecting/preventing certain indexed elements from execution)
80
81 The pros and cons of each are discussed and analysed below.
82
83 ## Fixed vs variable parallelism length
84
85 In David Patterson and Andrew Waterman's analysis of SIMD and Vector
86 ISAs, the analysis comes out clearly in favour of (effectively) variable
87 length SIMD. As SIMD is a fixed width, typically 4, 8 or in extreme cases
88 16 or 32 simultaneous operations, the setup, teardown and corner-cases of SIMD
89 are extremely burdensome except for applications whose requirements
90 *specifically* match the *precise and exact* depth of the SIMD engine.
91
92 Thus, SIMD, no matter what width is chosen, is never going to be acceptable
93 for general-purpose computation, and in the context of developing a
94 general-purpose ISA, is never going to satisfy 100 percent of implementors.
95
96 Worse, for increased workloads over time, as the performance requirements
97 increase for new target markets, implementors choose to extend the SIMD
98 width (so as to again avoid mixing parallelism into the instruction issue
99 phases: the primary "simplicity" benefit of SIMD in the first place),
100 with the result that the entire opcode space effectively doubles
101 with each new SIMD width that's added to the ISA.
102
103 That basically leaves "variable-length vector" as the clear *general-purpose*
104 winner, at least in terms of greatly simplifying the instruction set,
105 reducing the number of instructions required for any given task, and thus
106 reducing power consumption for the same.
107
108 ## Implicit vs fixed instruction bit-width
109
110 SIMD again has a severe disadvantage here, over Vector: huge proliferation
111 of specialist instructions that target 8-bit, 16-bit, 32-bit, 64-bit, and
112 have to then have operations *for each and between each*. It gets very
113 messy, very quickly.
114
115 The V-Extension on the other hand proposes to set the bit-width of
116 future instructions on a per-register basis, such that subsequent instructions
117 involving that register are *implicitly* of that particular bit-width until
118 otherwise changed or reset.
119
120 This has some extremely useful properties, without being particularly
121 burdensome to implementations, given that instruction decode already has
122 to direct the operation to a correctly-sized width ALU engine, anyway.
123
124 Not least: in places where an ISA was previously constrained (due for
125 whatever reason, including limitations of the available operand spcace),
126 implicit bit-width allows the meaning of certain operations to be
127 type-overloaded *without* pollution or alteration of frozen and immutable
128 instructions, in a fully backwards-compatible fashion.
129
130 ## Implicit and explicit type-conversion
131
132 The Draft 2.3 V-extension proposal has (deprecated) polymorphism to help
133 deal with over-population of instructions, such that type-casting from
134 integer (and floating point) of various sizes is automatically inferred
135 due to "type tagging" that is set with a special instruction. A register
136 will be *specifically* marked as "16-bit Floating-Point" and, if added
137 to an operand that is specifically tagged as "32-bit Integer" an implicit
138 type-conversion will take placce *without* requiring that type-conversion
139 to be explicitly done with its own separate instruction.
140
141 However, implicit type-conversion is not only quite burdensome to
142 implement (explosion of inferred type-to-type conversion) but also is
143 never really going to be complete. It gets even worse when bit-widths
144 also have to be taken into consideration.
145
146 Overall, type-conversion is generally best to leave to explicit
147 type-conversion instructions, or in definite specific use-cases left to
148 be part of an actual instruction (DSP or FP)
149
150 ## Zero-overhead loops vs explicit loops
151
152 The initial Draft P-SIMD Proposal by Chuanhua Chang of Andes Technology
153 contains an extremely interesting feature: zero-overhead loops. This
154 proposal would basically allow an inner loop of instructions to be
155 repeated indefinitely, a fixed number of times.
156
157 Its specific advantage over explicit loops is that the pipeline in a DSP
158 can potentially be kept completely full *even in an in-order single-issue
159 implementation*. Normally, it requires a superscalar architecture and
160 out-of-order execution capabilities to "pre-process" instructions in
161 order to keep ALU pipelines 100% occupied.
162
163 By bringing that capability in, this proposal could offer a way to increase
164 pipeline activity even in simpler implementations in the one key area
165 which really matters: the inner loop.
166
167 However when looking at much more comprehensive schemes
168 "A portable specification of zero-overhead loop control hardware
169 applied to embedded processors" (ZOLC), optimising only the single
170 inner loop seems inadequate, tending to suggest that ZOLC may be
171 better off being proposed as an entirely separate Extension.
172
173 ## Mask and Tagging (Predication)
174
175 Tagging (aka Masks aka Predication) is a pseudo-method of implementing
176 simplistic branching in a parallel fashion, by allowing execution on
177 elements of a vector to be switched on or off depending on the results
178 of prior operations in the same array position.
179
180 The reason for considering this is simple: by *definition* it
181 is not possible to perform individual parallel branches in a SIMD
182 (Single-Instruction, **Multiple**-Data) context. Branches (modifying
183 of the Program Counter) will result in *all* parallel data having
184 a different instruction executed on it: that's just the definition of
185 SIMD, and it is simply unavoidable.
186
187 So these are the ways in which conditional execution may be implemented:
188
189 * explicit compare and branch: BNE x, y -> offs would jump offs
190 instructions if x was not equal to y
191 * explicit store of tag condition: CMP x, y -> tagbit
192 * implicit (condition-code) ADD results in a carry, carry bit implicitly
193 (or sometimes explicitly) goes into a "tag" (mask) register
194
195 The first of these is a "normal" branch method, which is flat-out impossible
196 to parallelise without look-ahead and effectively rewriting instructions.
197 This would defeat the purpose of RISC.
198
199 The latter two are where parallelism becomes easy to do without complexity:
200 every operation is modified to be "conditionally executed" (in an explicit
201 way directly in the instruction format *or* implicitly).
202
203 RVV (Vector-Extension) proposes to have *explicit* storing of the compare
204 in a tag/mask register, and to *explicitly* have every vector operation
205 *require* that its operation be "predicated" on the bits within an
206 explicitly-named tag/mask register.
207
208 SIMD (P-Extension) has not yet published precise documentation on what its
209 schema is to be: there is however verbal indication at the time of writing
210 that:
211
212 > The "compare" instructions in the DSP/SIMD ISA proposed by Andes will
213 > be executed using the same compare ALU logic for the base ISA with some
214 > minor modifications to handle smaller data types. The function will not
215 > be duplicated.
216
217 This is an *implicit* form of predication as the base RV ISA does not have
218 condition-codes or predication. By adding a CSR it becomes possible
219 to also tag certain registers as "predicated if referenced as a destination".
220 Example:
221
222 // in future operations if r0 is the destination use r5 as
223 // the PREDICATION register
224 IMPLICICSRPREDICATE r0, r5
225 // store the compares in r5 as the PREDICATION register
226 CMPEQ8 r5, r1, r2
227 // r0 is used here. ah ha! that means it's predicated using r5!
228 ADD8 r0, r1, r3
229
230 With enough registers (and there are enough registers) some fairly
231 complex predication can be set up and yet still execute without significant
232 stalling, even in a simple non-superscalar architecture.
233
234 ### Retro-fitting Predication into branch-explicit ISA
235
236 One of the goals of this parallelism proposal is to avoid instruction
237 duplication. However, with the base ISA having been designed explictly
238 to *avoid* condition-codes entirely, shoe-horning predication into it
239 bcomes quite challenging.
240
241 However what if all branch instructions, if referencing a vectorised
242 register, were instead given *completely new analogous meanings* that
243 resulted in a parallel bit-wise predication register being set? This
244 would have to be done for both C.BEQZ and C.BNEZ, as well as BEQ, BNE,
245 BLT and BGE.
246
247 We might imagine that FEQ, FLT and FLT would also need to be converted,
248 however these are effectively *already* in the precise form needed and
249 do not need to be converted *at all*! The difference is that FEQ, FLT
250 and FLE *specifically* write a 1 to an integer register if the condition
251 holds, and 0 if not. All that needs to be done here is to say, "if
252 the integer register is tagged with a bit that says it is a predication
253 register, the **bit** in the integer register is set based on the
254 current vector index" instead.
255
256 There is, in the standard Conditional Branch instruction, more than
257 adequate space to interpret it in a similar fashion:
258
259 [[!table data="""
260 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
261 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
262 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
263 offset[12,10:5] || src2 | src1 | BEQ | offset[11,4:1] || BRANCH |
264 """]]
265
266 This would become:
267
268 [[!table data="""
269 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
270 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
271 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
272 reserved || src2 | src1 | BEQ | predicate rs3 || BRANCH |
273 """]]
274
275 Similarly the C.BEQZ and C.BNEZ instruction format may be retro-fitted,
276 with the interesting side-effect that there is space within what is presently
277 the "immediate offset" field to reinterpret that to add in not only a bit
278 field to distinguish between floating-point compare and integer compare,
279 not only to add in a second source register, but also use some of the bits as
280 a predication target as well.
281
282 [[!table data="""
283 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 ................. 2 | 1 .. 0 |
284 funct3 | imm | rs10 | imm | op |
285 3 | 3 | 3 | 5 | 2 |
286 C.BEQZ | offset[8,4:3] | src | offset[7:6,2:1,5] | C1 |
287 """]]
288
289 Now uses the CS format:
290
291 [[!table data="""
292 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 .. 5 | 4......... 2 | 1 .. 0 |
293 funct3 | imm | rs10 | imm | | op |
294 3 | 3 | 3 | 2 | 3 | 2 |
295 C.BEQZ | predicate rs3 | src1 | I/F B | src2 | C1 |
296 """]]
297
298 Bit 6 would be decoded as "operation refers to Integer or Float" including
299 interpreting src1 and src2 accordingly as outlined in Table 12.2 of the
300 "C" Standard, version 2.0,
301 whilst Bit 5 would allow the operation to be extended, in combination with
302 funct3 = 110 or 111: a combination of four distinct (predicated) comparison
303 operators. In both floating-point and integer cases those could be
304 EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting src1 and src2).
305
306 ## Conclusions
307
308 In the above sections the five different ways where parallel instruction
309 execution has closely and loosely inter-related implications for the ISA and
310 for implementors, were outlined. The pluses and minuses came out as
311 follows:
312
313 * Fixed vs variable parallelism: <b>variable</b>
314 * Implicit (indirect) vs fixed (integral) instruction bit-width: <b>indirect</b>
315 * Implicit vs explicit type-conversion: <b>explicit</b>
316 * Implicit vs explicit inner loops: <b>implicit but best done separately</b>
317 * Tag or no-tag: <b>Complex but highly beneficial</b>
318
319 In particular:
320
321 * variable-length vectors came out on top because of the high setup, teardown
322 and corner-cases associated with the fixed width of SIMD.
323 * Implicit bit-width helps to extend the ISA to escape from
324 former limitations and restrictions (in a backwards-compatible fashion),
325 whilst also leaving implementors free to simmplify implementations
326 by using actual explicit internal parallelism.
327 * Implicit (zero-overhead) loops provide a means to keep pipelines
328 potentially 100% occupied in a single-issue in-order implementation
329 i.e. *without* requiring a super-scalar or out-of-order architecture,
330 but doing a proper, full job (ZOLC) is an entirely different matter.
331
332 Constructing a SIMD/Simple-Vector proposal based around four of these five
333 requirements would therefore seem to be a logical thing to do.
334
335 # Instruction Format
336
337 **TODO** *basically borrow from both P and V, which should be quite simple
338 to do, with the exception of Tag/no-tag, which needs a bit more
339 thought. V's Section 17.19 of Draft V2.3 spec is reminiscent of B's BGS
340 gather-scatterer, and, if implemented, could actually be a really useful
341 way to span 8-bit up to 64-bit groups of data, where BGS as it stands
342 and described by Clifford does **bits** of up to 16 width. Lots to
343 look at and investigate*
344
345 * For analysis of RVV see [[v_comparative_analysis]] which begins to
346 outline topologically-equivalent mappings of instructions
347
348 # Note on implementation of parallelism
349
350 One extremely important aspect of this proposal is to respect and support
351 implementors desire to focus on power, area or performance. In that regard,
352 it is proposed that implementors be free to choose whether to implement
353 the Vector (or variable-width SIMD) parallelism as sequential operations
354 with a single ALU, fully parallel (if practical) with multiple ALUs, or
355 a hybrid combination of both.
356
357 In Broadcom's Videocore-IV, they chose hybrid, and called it "Virtual
358 Parallelism". They achieve a 16-way SIMD at an **instruction** level
359 by providing a combination of a 4-way parallel ALU *and* an externally
360 transparent loop that feeds 4 sequential sets of data into each of the
361 4 ALUs.
362
363 Also in the same core, it is worth noting that particularly uncommon
364 but essential operations (Reciprocal-Square-Root for example) are
365 *not* part of the 4-way parallel ALU but instead have a *single* ALU.
366 Under the proposed Vector (varible-width SIMD) implementors would
367 be free to do precisely that: i.e. free to choose *on a per operation
368 basis* whether and how much "Virtual Parallelism" to deploy.
369
370 It is absolutely critical to note that it is proposed that such choices MUST
371 be **entirely transparent** to the end-user and the compiler. Whilst
372 a Vector (varible-width SIM) may not precisely match the width of the
373 parallelism within the implementation, the end-user **should not care**
374 and in this way the performance benefits are gained but the ISA remains
375 straightforward. All that happens at the end of an instruction run is: some
376 parallel units (if there are any) would remain offline, completely
377 transparently to the ISA, the program, and the compiler.
378
379 The "SIMD considered harmful" trap of having huge complexity and extra
380 instructions to deal with corner-cases is thus avoided, and implementors
381 get to choose precisely where to focus and target the benefits of their
382 implementation efforts, without "extra baggage".
383
384 # CSRs <a name="csrs"></a>
385
386 There are a number of CSRs needed, which are used at the instruction
387 decode phase to re-interpret standard RV opcodes (a practice that has
388 precedent in the setting of MISA to enable / disable extensions).
389
390 * Integer Register N is Vector of length M: r(N) -> r(N..N+M-1)
391 * Integer Register N is of implicit bitwidth M (M=default,8,16,32,64)
392 * Floating-point Register N is Vector of length M: r(N) -> r(N..N+M-1)
393 * Floating-point Register N is of implicit bitwidth M (M=default,8,16,32,64)
394 * Integer Register N is a Predication Register (note: a key-value store)
395
396 Notes:
397
398 * for the purposes of LOAD / STORE, Integer Registers which are
399 marked as a Vector will result in a Vector LOAD / STORE.
400 * Vector Lengths are *not* the same as vsetl but are an integral part
401 of vsetl.
402 * Actual vector length is *multipled* by how many blocks of length
403 "bitwidth" may fit into an XLEN-sized register file.
404 * Predication is a key-value store due to the implicit referencing,
405 as opposed to having the predicate register explicitly in the instruction.
406
407 ## Predication CSR
408
409 The Predication CSR is a key-value store indicating whether, if a given
410 destination register (integer or floating-point) is referred to in an
411 instruction, it is to be predicated. The first entry is whether predication
412 is enabled. The second entry is whether the register index refers to a
413 floating-point or an integer register. The third entry is the index
414 of that register which is to be predicated (if referred to). The fourth entry
415 is the integer register that is treated as a bitfield, indexable by the
416 vector element index.
417
418 | RegNo | 6 | 5 | (4..0) | (4..0) |
419 | ----- | - | - | ------- | ------- |
420 | r0 | pren0 | i/f | regidx | predidx |
421 | r1 | pren1 | i/f | regidx | predidx |
422 | .. | pren.. | i/f | regidx | predidx |
423 | r15 | pren15 | i/f | regidx | predidx |
424
425 The Predication CSR Table is a key-value store, so implementation-wise
426 it will be faster to turn the table around (maintain topologically
427 equivalent state):
428
429 fp_pred_enabled[32];
430 int_pred_enabled[32];
431 for (i = 0; i < 16; i++)
432 if CSRpred[i].pren:
433 idx = CSRpred[i].regidx
434 predidx = CSRpred[i].predidx
435 if CSRpred[i].type == 0: # integer
436 int_pred_enabled[idx] = 1
437 int_pred_reg[idx] = predidx
438 else:
439 fp_pred_enabled[idx] = 1
440 fp_pred_reg[idx] = predidx
441
442 So when an operation is to be predicated, it is the internal state that
443 is used. In Section 6.4.2 of Hwacha's Manual (EECS-2015-262) the following
444 pseudo-code for operations is given, where p is the explicit (direct)
445 reference to the predication register to be used:
446
447 for (int i=0; i<vl; ++i)
448 if ([!]preg[p][i])
449 (d ? vreg[rd][i] : sreg[rd]) =
450 iop(s1 ? vreg[rs1][i] : sreg[rs1],
451 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
452
453 This instead becomes an *indirect* reference using the *internal* state
454 table generated from the Predication CSR key-value store:
455
456 if type(iop) == INT:
457 pred_enabled = int_pred_enabled
458 preg = int_pred_reg[rd]
459 else:
460 pred_enabled = fp_pred_enabled
461 preg = fp_pred_reg[rd]
462
463 for (int i=0; i<vl; ++i)
464 if (preg_enabled[rd] && [!]preg[i])
465 (d ? vreg[rd][i] : sreg[rd]) =
466 iop(s1 ? vreg[rs1][i] : sreg[rs1],
467 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
468
469 ## MAXVECTORDEPTH
470
471 MAXVECTORDEPTH is the same concept as MVL in RVV. However in Simple-V,
472 given that its primary (base, unextended) purpose is for 3D, Video and
473 other purposes (not requiring supercomputing capability), it makes sense
474 to limit MAXVECTORDEPTH to the regfile bitwidth (32 for RV32, 64 for RV64
475 and so on).
476
477 The reason for setting this limit is so that predication registers, when
478 marked as such, may fit into a single register as opposed to fanning out
479 over several registers. This keeps the implementation a little simpler.
480 Note that RVV on top of Simple-V may choose to over-ride this decision.
481
482 ## Vector-length CSRs
483
484 Vector lengths are interpreted as meaning "any instruction referring to
485 r(N) generates implicit identical instructions referring to registers
486 r(N+M-1) where M is the Vector Length". Vector Lengths may be set to
487 use up to 16 registers in the register file.
488
489 One separate CSR table is needed for each of the integer and floating-point
490 register files:
491
492 | RegNo | (3..0) |
493 | ----- | ------ |
494 | r0 | vlen0 |
495 | r1 | vlen1 |
496 | .. | vlen.. |
497 | r31 | vlen31 |
498
499 An array of 32 4-bit CSRs is needed (4 bits per register) to indicate
500 whether a register was, if referred to in any standard instructions,
501 implicitly to be treated as a vector. A vector length of 1 indicates
502 that it is to be treated as a scalar. Vector lengths of 0 are reserved.
503
504 Internally, implementations may choose to use the non-zero vector length
505 to set a bit-field per register, to be used in the instruction decode phase.
506 In this way any standard (current or future) operation involving
507 register operands may detect if the operation is to be vector-vector,
508 vector-scalar or scalar-scalar (standard) simply through a single
509 bit test.
510
511 Note that when using the "vsetl rs1, rs2" instruction (caveat: when the
512 bitwidth is specifically not set) it becomes:
513
514 CSRvlength = MIN(MIN(CSRvectorlen[rs1], MAXVECTORDEPTH), rs2)
515
516 This is in contrast to RVV:
517
518 CSRvlength = MIN(MIN(rs1, MAXVECTORDEPTH), rs2)
519
520 ## Element (SIMD) bitwidth CSRs
521
522 Element bitwidths may be specified with a per-register CSR, and indicate
523 how a register (integer or floating-point) is to be subdivided.
524
525 | RegNo | (2..0) |
526 | ----- | ------ |
527 | r0 | vew0 |
528 | r1 | vew1 |
529 | .. | vew.. |
530 | r31 | vew31 |
531
532 vew may be one of the following (giving a table "bytestable", used below):
533
534 | vew | bitwidth |
535 | --- | -------- |
536 | 000 | default |
537 | 001 | 8 |
538 | 010 | 16 |
539 | 011 | 32 |
540 | 100 | 64 |
541 | 101 | 128 |
542 | 110 | rsvd |
543 | 111 | rsvd |
544
545 Extending this table (with extra bits) is covered in the section
546 "Implementing RVV on top of Simple-V".
547
548 Note that when using the "vsetl rs1, rs2" instruction, taking bitwidth
549 into account, it becomes:
550
551 vew = CSRbitwidth[rs1]
552 if (vew == 0)
553 bytesperreg = (XLEN/8) # or FLEN as appropriate
554 else:
555 bytesperreg = bytestable[vew] # 1 2 4 8 16
556 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
557 vlen = CSRvectorlen[rs1] * simdmult
558 CSRvlength = MIN(MIN(vlen, MAXVECTORDEPTH), rs2)
559
560 The reason for multiplying the vector length by the number of SIMD elements
561 (in each individual register) is so that each SIMD element may optionally be
562 predicated.
563
564 An example of how to subdivide the register file when bitwidth != default
565 is given in the section "Bitwidth Virtual Register Reordering".
566
567 # Exceptions
568
569 > What does an ADD of two different-sized vectors do in simple-V?
570
571 * if the two source operands are not the same, throw an exception.
572 * if the destination operand is also a vector, and the source is longer
573 than the destination, throw an exception.
574
575 > And what about instructions like JALR? 
576 > What does jumping to a vector do?
577
578 * Throw an exception. Whether that actually results in spawning threads
579 as part of the trap-handling remains to be seen.
580
581 # Comparison of "Traditional" SIMD, Alt-RVP, Simple-V and RVV Proposals <a name="parallelism_comparisons"></a>
582
583 This section compares the various parallelism proposals as they stand,
584 including traditional SIMD, in terms of features, ease of implementation,
585 complexity, flexibility, and die area.
586
587 ## [[alt_rvp]]
588
589 Primary benefit of Alt-RVP is the simplicity with which parallelism
590 may be introduced (effective multiplication of regfiles and associated ALUs).
591
592 * plus: the simplicity of the lanes (combined with the regularity of
593 allocating identical opcodes multiple independent registers) meaning
594 that SRAM or 2R1W can be used for entire regfile (potentially).
595 * minus: a more complex instruction set where the parallelism is much
596 more explicitly directly specified in the instruction and
597 * minus: if you *don't* have an explicit instruction (opcode) and you
598 need one, the only place it can be added is... in the vector unit and
599 * minus: opcode functions (and associated ALUs) duplicated in Alt-RVP are
600 not useable or accessible in other Extensions.
601 * plus-and-minus: Lanes may be utilised for high-speed context-switching
602 but with the down-side that they're an all-or-nothing part of the Extension.
603 No Alt-RVP: no fast register-bank switching.
604 * plus: Lane-switching would mean that complex operations not suited to
605 parallelisation can be carried out, followed by further parallel Lane-based
606 work, without moving register contents down to memory (and back)
607 * minus: Access to registers across multiple lanes is challenging. "Solution"
608 is to drop data into memory and immediately back in again (like MMX).
609
610 ## Simple-V
611
612 Primary benefit of Simple-V is the OO abstraction of parallel principles
613 from actual (internal) parallel hardware. It's an API in effect that's
614 designed to be slotted in to an existing implementation (just after
615 instruction decode) with minimum disruption and effort.
616
617 * minus: the complexity of having to use register renames, OoO, VLIW,
618 register file cacheing, all of which has been done before but is a
619 pain
620 * plus: transparent re-use of existing opcodes as-is just indirectly
621 saying "this register's now a vector" which
622 * plus: means that future instructions also get to be inherently
623 parallelised because there's no "separate vector opcodes"
624 * plus: Compressed instructions may also be (indirectly) parallelised
625 * minus: the indirect nature of Simple-V means that setup (setting
626 a CSR register to indicate vector length, a separate one to indicate
627 that it is a predicate register and so on) means a little more setup
628 time than Alt-RVP or RVV's "direct and within the (longer) instruction"
629 approach.
630 * plus: shared register file meaning that, like Alt-RVP, complex
631 operations not suited to parallelisation may be carried out interleaved
632 between parallelised instructions *without* requiring data to be dropped
633 down to memory and back (into a separate vectorised register engine).
634 * plus-and-maybe-minus: re-use of integer and floating-point 32-wide register
635 files means that huge parallel workloads would use up considerable
636 chunks of the register file. However in the case of RV64 and 32-bit
637 operations, that effectively means 64 slots are available for parallel
638 operations.
639 * plus: inherent parallelism (actual parallel ALUs) doesn't actually need to
640 be added, yet the instruction opcodes remain unchanged (and still appear
641 to be parallel). consistent "API" regardless of actual internal parallelism:
642 even an in-order single-issue implementation with a single ALU would still
643 appear to have parallel vectoristion.
644 * hard-to-judge: if actual inherent underlying ALU parallelism is added it's
645 hard to say if there would be pluses or minuses (on die area). At worse it
646 would be "no worse" than existing register renaming, OoO, VLIW and register
647 file cacheing schemes.
648
649 ## RVV (as it stands, Draft 0.4 Section 17, RISC-V ISA V2.3-Draft)
650
651 RVV is extremely well-designed and has some amazing features, including
652 2D reorganisation of memory through LOAD/STORE "strides".
653
654 * plus: regular predictable workload means that implementations may
655 streamline effects on L1/L2 Cache.
656 * plus: regular and clear parallel workload also means that lanes
657 (similar to Alt-RVP) may be used as an implementation detail,
658 using either SRAM or 2R1W registers.
659 * plus: separate engine with no impact on the rest of an implementation
660 * minus: separate *complex* engine with no RTL (ALUs, Pipeline stages) reuse
661 really feasible.
662 * minus: no ISA abstraction or re-use either: additions to other Extensions
663 do not gain parallelism, resulting in prolific duplication of functionality
664 inside RVV *and out*.
665 * minus: when operations require a different approach (scalar operations
666 using the standard integer or FP regfile) an entire vector must be
667 transferred out to memory, into standard regfiles, then back to memory,
668 then back to the vector unit, this to occur potentially multiple times.
669 * minus: will never fit into Compressed instruction space (as-is. May
670 be able to do so if "indirect" features of Simple-V are partially adopted).
671 * plus-and-slight-minus: extended variants may address up to 256
672 vectorised registers (requires 48/64-bit opcodes to do it).
673 * minus-and-partial-plus: separate engine plus complexity increases
674 implementation time and die area, meaning that adoption is likely only
675 to be in high-performance specialist supercomputing (where it will
676 be absolutely superb).
677
678 ## Traditional SIMD
679
680 The only really good things about SIMD are how easy it is to implement and
681 get good performance. Unfortunately that makes it quite seductive...
682
683 * plus: really straightforward, ALU basically does several packed operations
684 at once. Parallelism is inherent at the ALU, making the addition of
685 SIMD-style parallelism an easy decision that has zero significant impact
686 on the rest of any given architectural design and layout.
687 * plus (continuation): SIMD in simple in-order single-issue designs can
688 therefore result in superb throughput, easily achieved even with a very
689 simple execution model.
690 * minus: ridiculously complex setup and corner-cases that disproportionately
691 increase instruction count on what would otherwise be a "simple loop",
692 should the number of elements in an array not happen to exactly match
693 the SIMD group width.
694 * minus: getting data usefully out of registers (if separate regfiles
695 are used) means outputting to memory and back.
696 * minus: quite a lot of supplementary instructions for bit-level manipulation
697 are needed in order to efficiently extract (or prepare) SIMD operands.
698 * minus: MASSIVE proliferation of ISA both in terms of opcodes in one
699 dimension and parallelism (width): an at least O(N^2) and quite probably
700 O(N^3) ISA proliferation that often results in several thousand
701 separate instructions. all requiring separate and distinct corner-case
702 algorithms!
703 * minus: EVEN BIGGER proliferation of SIMD ISA if the functionality of
704 8, 16, 32 or 64-bit reordering is built-in to the SIMD instruction.
705 For example: add (high|low) 16-bits of r1 to (low|high) of r2 requires
706 four separate and distinct instructions: one for (r1:low r2:high),
707 one for (r1:high r2:low), one for (r1:high r2:high) and one for
708 (r1:low r2:low) *per function*.
709 * minus: EVEN BIGGER proliferation of SIMD ISA if there is a mismatch
710 between operand and result bit-widths. In combination with high/low
711 proliferation the situation is made even worse.
712 * minor-saving-grace: some implementations *may* have predication masks
713 that allow control over individual elements within the SIMD block.
714
715 # Comparison *to* Traditional SIMD: Alt-RVP, Simple-V and RVV Proposals <a name="simd_comparison"></a>
716
717 This section compares the various parallelism proposals as they stand,
718 *against* traditional SIMD as opposed to *alongside* SIMD. In other words,
719 the question is asked "How can each of the proposals effectively implement
720 (or replace) SIMD, and how effective would they be"?
721
722 ## [[alt_rvp]]
723
724 * Alt-RVP would not actually replace SIMD but would augment it: just as with
725 a SIMD architecture where the ALU becomes responsible for the parallelism,
726 Alt-RVP ALUs would likewise be so responsible... with *additional*
727 (lane-based) parallelism on top.
728 * Thus at least some of the downsides of SIMD ISA O(N^3) proliferation by
729 at least one dimension are avoided (architectural upgrades introducing
730 128-bit then 256-bit then 512-bit variants of the exact same 64-bit
731 SIMD block)
732 * Thus, unfortunately, Alt-RVP would suffer the same inherent proliferation
733 of instructions as SIMD, albeit not quite as badly (due to Lanes).
734 * In the same discussion for Alt-RVP, an additional proposal was made to
735 be able to subdivide the bits of each register lane (columns) down into
736 arbitrary bit-lengths (RGB 565 for example).
737 * A recommendation was given instead to make the subdivisions down to 32-bit,
738 16-bit or even 8-bit, effectively dividing the registerfile into
739 Lane0(H), Lane0(L), Lane1(H) ... LaneN(L) or further. If inter-lane
740 "swapping" instructions were then introduced, some of the disadvantages
741 of SIMD could be mitigated.
742
743 ## RVV
744
745 * RVV is designed to replace SIMD with a better paradigm: arbitrary-length
746 parallelism.
747 * However whilst SIMD is usually designed for single-issue in-order simple
748 DSPs with a focus on Multimedia (Audio, Video and Image processing),
749 RVV's primary focus appears to be on Supercomputing: optimisation of
750 mathematical operations that fit into the OpenCL space.
751 * Adding functions (operations) that would normally fit (in parallel)
752 into a SIMD instruction requires an equivalent to be added to the
753 RVV Extension, if one does not exist. Given the specialist nature of
754 some SIMD instructions (8-bit or 16-bit saturated or halving add),
755 this possibility seems extremely unlikely to occur, even if the
756 implementation overhead of RVV were acceptable (compared to
757 normal SIMD/DSP-style single-issue in-order simplicity).
758
759 ## Simple-V
760
761 * Simple-V borrows hugely from RVV as it is intended to be easy to
762 topologically transplant every single instruction from RVV (as
763 designed) into Simple-V equivalents, with *zero loss of functionality
764 or capability*.
765 * With the "parallelism" abstracted out, a hypothetical SIMD-less "DSP"
766 Extension which contained the basic primitives (non-parallelised
767 8, 16 or 32-bit SIMD operations) inherently *become* parallel,
768 automatically.
769 * Additionally, standard operations (ADD, MUL) that would normally have
770 to have special SIMD-parallel opcodes added need no longer have *any*
771 of the length-dependent variants (2of 32-bit ADDs in a 64-bit register,
772 4of 32-bit ADDs in a 128-bit register) because Simple-V takes the
773 *standard* RV opcodes (present and future) and automatically parallelises
774 them.
775 * By inheriting the RVV feature of arbitrary vector-length, then just as
776 with RVV the corner-cases and ISA proliferation of SIMD is avoided.
777 * Whilst not entirely finalised, registers are expected to be
778 capable of being subdivided down to an implementor-chosen bitwidth
779 in the underlying hardware (r1 becomes r1[31..24] r1[23..16] r1[15..8]
780 and r1[7..0], or just r1[31..16] r1[15..0]) where implementors can
781 choose to have separate independent 8-bit ALUs or dual-SIMD 16-bit
782 ALUs that perform twin 8-bit operations as they see fit, or anything
783 else including no subdivisions at all.
784 * Even though implementors have that choice even to have full 64-bit
785 (with RV64) SIMD, they *must* provide predication that transparently
786 switches off appropriate units on the last loop, thus neatly fitting
787 underlying SIMD ALU implementations *into* the arbitrary vector-length
788 RVV paradigm, keeping the uniform consistent API that is a key strategic
789 feature of Simple-V.
790 * With Simple-V fitting into the standard register files, certain classes
791 of SIMD operations such as High/Low arithmetic (r1[31..16] + r2[15..0])
792 can be done by applying *Parallelised* Bit-manipulation operations
793 followed by parallelised *straight* versions of element-to-element
794 arithmetic operations, even if the bit-manipulation operations require
795 changing the bitwidth of the "vectors" to do so. Predication can
796 be utilised to skip high words (or low words) in source or destination.
797 * In essence, the key downside of SIMD - massive duplication of
798 identical functions over time as an architecture evolves from 32-bit
799 wide SIMD all the way up to 512-bit, is avoided with Simple-V, through
800 vector-style parallelism being dropped on top of 8-bit or 16-bit
801 operations, all the while keeping a consistent ISA-level "API" irrespective
802 of implementor design choices (or indeed actual implementations).
803
804 # Impementing V on top of Simple-V
805
806 * Number of Offset CSRs extends from 2
807 * Extra register file: vector-file
808 * Setup of Vector length and bitwidth CSRs now can specify vector-file
809 as well as integer or float file.
810 * Extend CSR tables (bitwidth) with extra bits
811 * TODO
812
813 # Implementing P (renamed to DSP) on top of Simple-V
814
815 * Implementors indicate chosen bitwidth support in Vector-bitwidth CSR
816 (caveat: anything not specified drops through to software-emulation / traps)
817 * TODO
818
819 # Appendix
820
821 ## V-Extension to Simple-V Comparative Analysis
822
823 This section has been moved to its own page [[v_comparative_analysis]]
824
825 ## P-Ext ISA
826
827 This section has been moved to its own page [[p_comparative_analysis]]
828
829 ## Example of vector / vector, vector / scalar, scalar / scalar => vector add
830
831 register CSRvectorlen[XLEN][4]; # not quite decided yet about this one...
832 register CSRpredicate[XLEN][4]; # 2^4 is max vector length
833 register CSRreg_is_vectorised[XLEN]; # just for fun support scalars as well
834 register x[32][XLEN];
835
836 function op_add(rd, rs1, rs2, predr)
837 {
838    /* note that this is ADD, not PADD */
839    int i, id, irs1, irs2;
840    # checks CSRvectorlen[rd] == CSRvectorlen[rs] etc. ignored
841    # also destination makes no sense as a scalar but what the hell...
842    for (i = 0, id=0, irs1=0, irs2=0; i<CSRvectorlen[rd]; i++)
843       if (CSRpredicate[predr][i]) # i *think* this is right...
844          x[rd+id] <= x[rs1+irs1] + x[rs2+irs2];
845       # now increment the idxs
846       if (CSRreg_is_vectorised[rd]) # bitfield check rd, scalar/vector?
847          id += 1;
848       if (CSRreg_is_vectorised[rs1]) # bitfield check rs1, scalar/vector?
849          irs1 += 1;
850       if (CSRreg_is_vectorised[rs2]) # bitfield check rs2, scalar/vector?
851          irs2 += 1;
852 }
853
854 ## Register reordering <a name="register_reordering"></a>
855
856 ### Register File
857
858 | Reg Num | Bits |
859 | ------- | ---- |
860 | r0 | (32..0) |
861 | r1 | (32..0) |
862 | r2 | (32..0) |
863 | r3 | (32..0) |
864 | r4 | (32..0) |
865 | r5 | (32..0) |
866 | r6 | (32..0) |
867 | r7 | (32..0) |
868 | .. | (32..0) |
869 | r31| (32..0) |
870
871 ### Vectorised CSR
872
873 May not be an actual CSR: may be generated from Vector Length CSR:
874 single-bit is less burdensome on instruction decode phase.
875
876 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |
877 | - | - | - | - | - | - | - | - |
878 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 |
879
880 ### Vector Length CSR
881
882 | Reg Num | (3..0) |
883 | ------- | ---- |
884 | r0 | 2 |
885 | r1 | 0 |
886 | r2 | 1 |
887 | r3 | 1 |
888 | r4 | 3 |
889 | r5 | 0 |
890 | r6 | 0 |
891 | r7 | 1 |
892
893 ### Virtual Register Reordering
894
895 This example assumes the above Vector Length CSR table
896
897 | Reg Num | Bits (0) | Bits (1) | Bits (2) |
898 | ------- | -------- | -------- | -------- |
899 | r0 | (32..0) | (32..0) |
900 | r2 | (32..0) |
901 | r3 | (32..0) |
902 | r4 | (32..0) | (32..0) | (32..0) |
903 | r7 | (32..0) |
904
905 ### Bitwidth Virtual Register Reordering
906
907 This example goes a little further and illustrates the effect that a
908 bitwidth CSR has been set on a register. Preconditions:
909
910 * RV32 assumed
911 * CSRintbitwidth[2] = 010 # integer r2 is 16-bit
912 * CSRintvlength[2] = 3 # integer r2 is a vector of length 3
913 * vsetl rs1, 5 # set the vector length to 5
914
915 This is interpreted as follows:
916
917 * Given that the context is RV32, ELEN=32.
918 * With ELEN=32 and bitwidth=16, the number of SIMD elements is 2
919 * Therefore the actual vector length is up to *six* elements
920
921 So when using an operation that uses r2 as a source (or destination)
922 the operation is carried out as follows:
923
924 * 16-bit operation on r2(15..0) - vector element index 0
925 * 16-bit operation on r2(31..16) - vector element index 1
926 * 16-bit operation on r3(15..0) - vector element index 2
927 * 16-bit operation on r3(31..16) - vector element index 3
928 * 16-bit operation on r4(15..0) - vector element index 4
929 * 16-bit operation on r4(31..16) **NOT** carried out due to length being 5
930
931 Predication has been left out of the above example for simplicity, however
932 predication is ANDed with the latter stages (vsetl not equal to maximum
933 capacity).
934
935 Note also that it is entirely an implementor's choice as to whether to have
936 actual separate ALUs down to the minimum bitwidth, or whether to have something
937 more akin to traditional SIMD (at any level of subdivision: 8-bit SIMD
938 operations carried out 32-bits at a time is perfectly acceptable, as is
939 8-bit SIMD operations carried out 16-bits at a time requiring two ALUs).
940 Regardless of the internal parallelism choice, *predication must
941 still be respected*, making Simple-V in effect the "consistent public API".
942
943 ### Example Instruction translation: <a name="example_translation"></a>
944
945 Instructions "ADD r2 r4 r4" would result in three instructions being
946 generated and placed into the FILO:
947
948 * ADD r2 r4 r4
949 * ADD r2 r5 r5
950 * ADD r2 r6 r6
951
952 ### Insights
953
954 SIMD register file splitting still to consider. For RV64, benefits of doubling
955 (quadrupling in the case of Half-Precision IEEE754 FP) the apparent
956 size of the floating point register file to 64 (128 in the case of HP)
957 seem pretty clear and worth the complexity.
958
959 64 virtual 32-bit F.P. registers and given that 32-bit FP operations are
960 done on 64-bit registers it's not so conceptually difficult.  May even
961 be achieved by *actually* splitting the regfile into 64 virtual 32-bit
962 registers such that a 64-bit FP scalar operation is dropped into (r0.H
963 r0.L) tuples.  Implementation therefore hidden through register renaming.
964
965 Implementations intending to introduce VLIW, OoO and parallelism
966 (even without Simple-V) would then find that the instructions are
967 generated quicker (or in a more compact fashion that is less heavy
968 on caches). Interestingly we observe then that Simple-V is about
969 "consolidation of instruction generation", where actual parallelism
970 of underlying hardware is an implementor-choice that could just as
971 equally be applied *without* Simple-V even being implemented.
972
973 ## Analysis of CSR decoding on latency <a name="csr_decoding_analysis"></a>
974
975 It could indeed have been logically deduced (or expected), that there
976 would be additional decode latency in this proposal, because if
977 overloading the opcodes to have different meanings, there is guaranteed
978 to be some state, some-where, directly related to registers.
979
980 There are several cases:
981
982 * All operands vector-length=1 (scalars), all operands
983 packed-bitwidth="default": instructions are passed through direct as if
984 Simple-V did not exist.  Simple-V is, in effect, completely disabled.
985 * At least one operand vector-length > 1, all operands
986 packed-bitwidth="default": any parallel vector ALUs placed on "alert",
987 virtual parallelism looping may be activated.
988 * All operands vector-length=1 (scalars), at least one
989 operand packed-bitwidth != default: degenerate case of SIMD,
990 implementation-specific complexity here (packed decode before ALUs or
991 *IN* ALUs)
992 * At least one operand vector-length > 1, at least one operand
993 packed-bitwidth != default: parallel vector ALUs (if any)
994 placed on "alert", virtual parallelsim looping may be activated,
995 implementation-specific SIMD complexity kicks in (packed decode before
996 ALUs or *IN* ALUs).
997
998 Bear in mind that the proposal includes that the decision whether
999 to parallelise in hardware or whether to virtual-parallelise (to
1000 dramatically simplify compilers and also not to run into the SIMD
1001 instruction proliferation nightmare) *or* a transprent combination
1002 of both, be done on a *per-operand basis*, so that implementors can
1003 specifically choose to create an application-optimised implementation
1004 that they believe (or know) will sell extremely well, without having
1005 "Extra Standards-Mandated Baggage" that would otherwise blow their area
1006 or power budget completely out the window.
1007
1008 Additionally, two possible CSR schemes have been proposed, in order to
1009 greatly reduce CSR space:
1010
1011 * per-register CSRs (vector-length and packed-bitwidth)
1012 * a smaller number of CSRs with the same information but with an *INDEX*
1013 specifying WHICH register in one of three regfiles (vector, fp, int)
1014 the length and bitwidth applies to.
1015
1016 (See "CSR vector-length and CSR SIMD packed-bitwidth" section for details)
1017
1018 In addition, LOAD/STORE has its own associated proposed CSRs that
1019 mirror the STRIDE (but not yet STRIDE-SEGMENT?) functionality of
1020 V (and Hwacha).
1021
1022 Also bear in mind that, for reasons of simplicity for implementors,
1023 I was coming round to the idea of permitting implementors to choose
1024 exactly which bitwidths they would like to support in hardware and which
1025 to allow to fall through to software-trap emulation.
1026
1027 So the question boils down to:
1028
1029 * whether either (or both) of those two CSR schemes have significant
1030 latency that could even potentially require an extra pipeline decode stage
1031 * whether there are implementations that can be thought of which do *not*
1032 introduce significant latency
1033 * whether it is possible to explicitly (through quite simply
1034 disabling Simple-V-Ext) or implicitly (detect the case all-vlens=1,
1035 all-simd-bitwidths=default) switch OFF any decoding, perhaps even to
1036 the extreme of skipping an entire pipeline stage (if one is needed)
1037 * whether packed bitwidth and associated regfile splitting is so complex
1038 that it should definitely, definitely be made mandatory that implementors
1039 move regfile splitting into the ALU, and what are the implications of that
1040 * whether even if that *is* made mandatory, is software-trapped
1041 "unsupported bitwidths" still desirable, on the basis that SIMD is such
1042 a complete nightmare that *even* having a software implementation is
1043 better, making Simple-V have more in common with a software API than
1044 anything else.
1045
1046 Whilst the above may seem to be severe minuses, there are some strong
1047 pluses:
1048
1049 * Significant reduction of V's opcode space: over 85%.
1050 * Smaller reduction of P's opcode space: around 10%.
1051 * The potential to use Compressed instructions in both Vector and SIMD
1052 due to the overloading of register meaning (implicit vectorisation,
1053 implicit packing)
1054 * Not only present but also future extensions automatically gain parallelism.
1055 * Already mentioned but worth emphasising: the simplification to compiler
1056 writers and assembly-level writers of having the same consistent ISA
1057 regardless of whether the internal level of parallelism (number of
1058 parallel ALUs) is only equal to one ("virtual" parallelism), or is
1059 greater than one, should not be underestimated.
1060
1061 ## Reducing Register Bank porting
1062
1063 This looks quite reasonable.
1064 <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1065
1066 The main details are outlined on page 4.  They propose a 2-level register
1067 cache hierarchy, note that registers are typically only read once, that
1068 you never write back from upper to lower cache level but always go in a
1069 cycle lower -> upper -> ALU -> lower, and at the top of page 5 propose
1070 a scheme where you look ahead by only 2 instructions to determine which
1071 registers to bring into the cache.
1072
1073 The nice thing about a vector architecture is that you *know* that
1074 *even more* registers are going to be pulled in: Hwacha uses this fact
1075 to optimise L1/L2 cache-line usage (avoid thrashing), strangely enough
1076 by *introducing* deliberate latency into the execution phase.
1077
1078 # References
1079
1080 * SIMD considered harmful <https://www.sigarch.org/simd-instructions-considered-harmful/>
1081 * Link to first proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/GuukrSjgBH8>
1082 * Recommendation by Jacob Bachmeyer to make zero-overhead loop an
1083 "implicit program-counter" <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/vYVi95gF2Mo/SHz6a4_lAgAJ>
1084 * Re-continuing P-Extension proposal <https://groups.google.com/a/groups.riscv.org/forum/#!msg/isa-dev/IkLkQn3HvXQ/SEMyC9IlAgAJ>
1085 * First Draft P-SIMD (DSP) proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/vYVi95gF2Mo>
1086 * B-Extension discussion <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/zi_7B15kj6s>
1087 * Broadcom VideoCore-IV <https://docs.broadcom.com/docs/12358545>
1088 Figure 2 P17 and Section 3 on P16.
1089 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-262.html>
1090 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-263.html>
1091 * Vector Workshop <http://riscv.org/wp-content/uploads/2015/06/riscv-vector-workshop-june2015.pdf>
1092 * Predication <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/XoP4BfYSLXA>
1093 * Branch Divergence <https://jbush001.github.io/2014/12/07/branch-divergence-in-parallel-kernels.html>
1094 * Life of Triangles (3D) <https://jbush001.github.io/2016/02/27/life-of-triangle.html>
1095 * Videocore-IV <https://github.com/hermanhermitage/videocoreiv/wiki/VideoCore-IV-3d-Graphics-Pipeline>
1096 * Discussion proposing CSRs that change ISA definition
1097 <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/InzQ1wr_3Ak>
1098 * Zero-overhead loops <https://pdfs.semanticscholar.org/dbaa/66985cc730d4b44d79f519e96ec9c43ab5b7.pdf>
1099 * Multi-ported VLIW Register File Implementation <https://ce-publications.et.tudelft.nl/publications/1517_multiple_contexts_in_a_multiported_vliw_register_file_impl.pdf>
1100 * Fast context save/restore proposal <https://groups.google.com/a/groups.riscv.org/d/msgid/isa-dev/57F823FA.6030701%40gmail.com>
1101 * Register File Bank Cacheing <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>