clarify
[libreriscv.git] / simple_v_extension.mdwn
1 # Variable-width Variable-packed SIMD / Simple-V / Parallelism Extension Proposal
2
3 Key insight: Simple-V is intended as an abstraction layer to provide
4 a consistent "API" to parallelisation of existing *and future* operations.
5 *Actual* internal hardware-level parallelism is *not* required, such
6 that Simple-V may be viewed as providing a "compact" or "consolidated"
7 means of issuing multiple near-identical arithmetic instructions to an
8 instruction queue (FIFO), pending execution.
9
10 *Actual* parallelism, if added independently of Simple-V in the form
11 of Out-of-order restructuring (including parallel ALU lanes) or VLIW
12 implementations, or SIMD, or anything else, would then benefit *if*
13 Simple-V was added on top.
14
15 [[!toc ]]
16
17 # Introduction
18
19 This proposal exists so as to be able to satisfy several disparate
20 requirements: power-conscious, area-conscious, and performance-conscious
21 designs all pull an ISA and its implementation in different conflicting
22 directions, as do the specific intended uses for any given implementation.
23
24 The existing P (SIMD) proposal and the V (Vector) proposals,
25 whilst each extremely powerful in their own right and clearly desirable,
26 are also:
27
28 * Clearly independent in their origins (Cray and AndesStar v3 respectively)
29 so need work to adapt to the RISC-V ethos and paradigm
30 * Are sufficiently large so as to make adoption (and exploration for
31 analysis and review purposes) prohibitively expensive
32 * Both contain partial duplication of pre-existing RISC-V instructions
33 (an undesirable characteristic)
34 * Both have independent, incompatible and disparate methods for introducing
35 parallelism at the instruction level
36 * Both require that their respective parallelism paradigm be implemented
37 along-side and integral to their respective functionality *or not at all*.
38 * Both independently have methods for introducing parallelism that
39 could, if separated, benefit
40 *other areas of RISC-V not just DSP or Floating-point respectively*.
41
42 There are also key differences between Vectorisation and SIMD (full
43 details outlined in the Appendix), the key points being:
44
45 * SIMD has an extremely seductively compelling ease of implementation argument:
46 each operation is passed to the ALU, which is where the parallelism
47 lies. There is *negligeable* (if any) impact on the rest of the core
48 (with life instead being made hell for compiler writers and applications
49 writers due to extreme ISA proliferation).
50 * By contrast, Vectorisation has quite some complexity (for considerable
51 flexibility, reduction in opcode proliferation and much more).
52 * Vectorisation typically includes much more comprehensive memory load
53 and store schemes (unit stride, constant-stride and indexed), which
54 in turn have ramifications: virtual memory misses (TLB cache misses)
55 and even multiple page-faults... all caused by a *single instruction*,
56 yet with a clear benefit that the regularisation of LOAD/STOREs can
57 be optimised for minimal impact on caches and maximised throughput.
58 * By contrast, SIMD can use "standard" memory load/stores (32-bit aligned
59 to pages), and these load/stores have absolutely nothing to do with the
60 SIMD / ALU engine, no matter how wide the operand. Simplicity but with
61 more impact on instruction and data caches.
62
63 Overall it makes a huge amount of sense to have a means and method
64 of introducing instruction parallelism in a flexible way that provides
65 implementors with the option to choose exactly where they wish to offer
66 performance improvements and where they wish to optimise for power
67 and/or area (and if that can be offered even on a per-operation basis that
68 would provide even more flexibility).
69
70 Additionally it makes sense to *split out* the parallelism inherent within
71 each of P and V, and to see if each of P and V then, in *combination* with
72 a "best-of-both" parallelism extension, could be added on *on top* of
73 this proposal, to topologically provide the exact same functionality of
74 each of P and V. Each of P and V then can focus on providing the best
75 operations possible for their respective target areas, without being
76 hugely concerned about the actual parallelism.
77
78 Furthermore, an additional goal of this proposal is to reduce the number
79 of opcodes utilised by each of P and V as they currently stand, leveraging
80 existing RISC-V opcodes where possible, and also potentially allowing
81 P and V to make use of Compressed Instructions as a result.
82
83 # Analysis and discussion of Vector vs SIMD
84
85 There are six combined areas between the two proposals that help with
86 parallelism (increased performance, reduced power / area) without
87 over-burdening the ISA with a huge proliferation of
88 instructions:
89
90 * Fixed vs variable parallelism (fixed or variable "M" in SIMD)
91 * Implicit vs fixed instruction bit-width (integral to instruction or not)
92 * Implicit vs explicit type-conversion (compounded on bit-width)
93 * Implicit vs explicit inner loops.
94 * Single-instruction LOAD/STORE.
95 * Masks / tagging (selecting/preventing certain indexed elements from execution)
96
97 The pros and cons of each are discussed and analysed below.
98
99 ## Fixed vs variable parallelism length
100
101 In David Patterson and Andrew Waterman's analysis of SIMD and Vector
102 ISAs, the analysis comes out clearly in favour of (effectively) variable
103 length SIMD. As SIMD is a fixed width, typically 4, 8 or in extreme cases
104 16 or 32 simultaneous operations, the setup, teardown and corner-cases of SIMD
105 are extremely burdensome except for applications whose requirements
106 *specifically* match the *precise and exact* depth of the SIMD engine.
107
108 Thus, SIMD, no matter what width is chosen, is never going to be acceptable
109 for general-purpose computation, and in the context of developing a
110 general-purpose ISA, is never going to satisfy 100 percent of implementors.
111
112 To explain this further: for increased workloads over time, as the
113 performance requirements increase for new target markets, implementors
114 choose to extend the SIMD width (so as to again avoid mixing parallelism
115 into the instruction issue phases: the primary "simplicity" benefit of
116 SIMD in the first place), with the result that the entire opcode space
117 effectively doubles with each new SIMD width that's added to the ISA.
118
119 That basically leaves "variable-length vector" as the clear *general-purpose*
120 winner, at least in terms of greatly simplifying the instruction set,
121 reducing the number of instructions required for any given task, and thus
122 reducing power consumption for the same.
123
124 ## Implicit vs fixed instruction bit-width
125
126 SIMD again has a severe disadvantage here, over Vector: huge proliferation
127 of specialist instructions that target 8-bit, 16-bit, 32-bit, 64-bit, and
128 have to then have operations *for each and between each*. It gets very
129 messy, very quickly.
130
131 The V-Extension on the other hand proposes to set the bit-width of
132 future instructions on a per-register basis, such that subsequent instructions
133 involving that register are *implicitly* of that particular bit-width until
134 otherwise changed or reset.
135
136 This has some extremely useful properties, without being particularly
137 burdensome to implementations, given that instruction decode already has
138 to direct the operation to a correctly-sized width ALU engine, anyway.
139
140 Not least: in places where an ISA was previously constrained (due for
141 whatever reason, including limitations of the available operand space),
142 implicit bit-width allows the meaning of certain operations to be
143 type-overloaded *without* pollution or alteration of frozen and immutable
144 instructions, in a fully backwards-compatible fashion.
145
146 ## Implicit and explicit type-conversion
147
148 The Draft 2.3 V-extension proposal has (deprecated) polymorphism to help
149 deal with over-population of instructions, such that type-casting from
150 integer (and floating point) of various sizes is automatically inferred
151 due to "type tagging" that is set with a special instruction. A register
152 will be *specifically* marked as "16-bit Floating-Point" and, if added
153 to an operand that is specifically tagged as "32-bit Integer" an implicit
154 type-conversion will take place *without* requiring that type-conversion
155 to be explicitly done with its own separate instruction.
156
157 However, implicit type-conversion is not only quite burdensome to
158 implement (explosion of inferred type-to-type conversion) but also is
159 never really going to be complete. It gets even worse when bit-widths
160 also have to be taken into consideration. Each new type results in
161 an increased O(N^2) conversion space that, as anyone who has examined
162 python's source code (which has built-in polymorphic type-conversion),
163 knows that the task is more complex than it first seems.
164
165 Overall, type-conversion is generally best to leave to explicit
166 type-conversion instructions, or in definite specific use-cases left to
167 be part of an actual instruction (DSP or FP)
168
169 ## Zero-overhead loops vs explicit loops
170
171 The initial Draft P-SIMD Proposal by Chuanhua Chang of Andes Technology
172 contains an extremely interesting feature: zero-overhead loops. This
173 proposal would basically allow an inner loop of instructions to be
174 repeated indefinitely, a fixed number of times.
175
176 Its specific advantage over explicit loops is that the pipeline in a DSP
177 can potentially be kept completely full *even in an in-order single-issue
178 implementation*. Normally, it requires a superscalar architecture and
179 out-of-order execution capabilities to "pre-process" instructions in
180 order to keep ALU pipelines 100% occupied.
181
182 By bringing that capability in, this proposal could offer a way to increase
183 pipeline activity even in simpler implementations in the one key area
184 which really matters: the inner loop.
185
186 However when looking at much more comprehensive schemes
187 "A portable specification of zero-overhead loop control hardware
188 applied to embedded processors" (ZOLC), optimising only the single
189 inner loop seems inadequate, tending to suggest that ZOLC may be
190 better off being proposed as an entirely separate Extension.
191
192 ## Single-instruction LOAD/STORE
193
194 In traditional Vector Architectures there are instructions which
195 result in multiple register-memory transfer operations resulting
196 from a single instruction. They're complicated to implement in hardware,
197 yet the benefits are a huge consistent regularisation of memory accesses
198 that can be highly optimised with respect to both actual memory and any
199 L1, L2 or other caches. In Hwacha EECS-2015-263 it is explicitly made
200 clear the consequences of getting this architecturally wrong:
201 L2 cache-thrashing at the very least.
202
203 Complications arise when Virtual Memory is involved: TLB cache misses
204 need to be dealt with, as do page faults. Some of the tradeoffs are
205 discussed in <http://people.eecs.berkeley.edu/~krste/thesis.pdf>, Section
206 4.6, and an article by Jeff Bush when faced with some of these issues
207 is particularly enlightening
208 <https://jbush001.github.io/2015/11/03/lost-in-translation.html>
209
210 Interestingly, none of this complexity is faced in SIMD architectures...
211 but then they do not get the opportunity to optimise for highly-streamlined
212 memory accesses either.
213
214 With the "bang-per-buck" ratio being so high and the indirect improvement
215 in L1 Instruction Cache usage (reduced instruction count), as well as
216 the opportunity to optimise L1 and L2 cache usage, the case for including
217 Vector LOAD/STORE is compelling.
218
219 ## Mask and Tagging (Predication)
220
221 Tagging (aka Masks aka Predication) is a pseudo-method of implementing
222 simplistic branching in a parallel fashion, by allowing execution on
223 elements of a vector to be switched on or off depending on the results
224 of prior operations in the same array position.
225
226 The reason for considering this is simple: by *definition* it
227 is not possible to perform individual parallel branches in a SIMD
228 (Single-Instruction, **Multiple**-Data) context. Branches (modifying
229 of the Program Counter) will result in *all* parallel data having
230 a different instruction executed on it: that's just the definition of
231 SIMD, and it is simply unavoidable.
232
233 So these are the ways in which conditional execution may be implemented:
234
235 * explicit compare and branch: BNE x, y -> offs would jump offs
236 instructions if x was not equal to y
237 * explicit store of tag condition: CMP x, y -> tagbit
238 * implicit (condition-code) such as ADD results in a carry, carry bit
239 implicitly (or sometimes explicitly) goes into a "tag" (mask) register
240
241 The first of these is a "normal" branch method, which is flat-out impossible
242 to parallelise without look-ahead and effectively rewriting instructions.
243 This would defeat the purpose of RISC.
244
245 The latter two are where parallelism becomes easy to do without complexity:
246 every operation is modified to be "conditionally executed" (in an explicit
247 way directly in the instruction format *or* implicitly).
248
249 RVV (Vector-Extension) proposes to have *explicit* storing of the compare
250 in a tag/mask register, and to *explicitly* have every vector operation
251 *require* that its operation be "predicated" on the bits within an
252 explicitly-named tag/mask register.
253
254 SIMD (P-Extension) has not yet published precise documentation on what its
255 schema is to be: there is however verbal indication at the time of writing
256 that:
257
258 > The "compare" instructions in the DSP/SIMD ISA proposed by Andes will
259 > be executed using the same compare ALU logic for the base ISA with some
260 > minor modifications to handle smaller data types. The function will not
261 > be duplicated.
262
263 This is an *implicit* form of predication as the base RV ISA does not have
264 condition-codes or predication. By adding a CSR it becomes possible
265 to also tag certain registers as "predicated if referenced as a destination".
266 Example:
267
268 // in future operations from now on, if r0 is the destination use r5 as
269 // the PREDICATION register
270 SET_IMPLICIT_CSRPREDICATE r0, r5
271 // store the compares in r5 as the PREDICATION register
272 CMPEQ8 r5, r1, r2
273 // r0 is used here. ah ha! that means it's predicated using r5!
274 ADD8 r0, r1, r3
275
276 With enough registers (and in RISC-V there are enough registers) some fairly
277 complex predication can be set up and yet still execute without significant
278 stalling, even in a simple non-superscalar architecture.
279
280 (For details on how Branch Instructions would be retro-fitted to indirectly
281 predicated equivalents, see Appendix)
282
283 ## Conclusions
284
285 In the above sections the five different ways where parallel instruction
286 execution has closely and loosely inter-related implications for the ISA and
287 for implementors, were outlined. The pluses and minuses came out as
288 follows:
289
290 * Fixed vs variable parallelism: <b>variable</b>
291 * Implicit (indirect) vs fixed (integral) instruction bit-width: <b>indirect</b>
292 * Implicit vs explicit type-conversion: <b>explicit</b>
293 * Implicit vs explicit inner loops: <b>implicit but best done separately</b>
294 * Single-instruction Vector LOAD/STORE: <b>Complex but highly beneficial</b>
295 * Tag or no-tag: <b>Complex but highly beneficial</b>
296
297 In particular:
298
299 * variable-length vectors came out on top because of the high setup, teardown
300 and corner-cases associated with the fixed width of SIMD.
301 * Implicit bit-width helps to extend the ISA to escape from
302 former limitations and restrictions (in a backwards-compatible fashion),
303 whilst also leaving implementors free to simmplify implementations
304 by using actual explicit internal parallelism.
305 * Implicit (zero-overhead) loops provide a means to keep pipelines
306 potentially 100% occupied in a single-issue in-order implementation
307 i.e. *without* requiring a super-scalar or out-of-order architecture,
308 but doing a proper, full job (ZOLC) is an entirely different matter.
309
310 Constructing a SIMD/Simple-Vector proposal based around four of these six
311 requirements would therefore seem to be a logical thing to do.
312
313 # Note on implementation of parallelism
314
315 One extremely important aspect of this proposal is to respect and support
316 implementors desire to focus on power, area or performance. In that regard,
317 it is proposed that implementors be free to choose whether to implement
318 the Vector (or variable-width SIMD) parallelism as sequential operations
319 with a single ALU, fully parallel (if practical) with multiple ALUs, or
320 a hybrid combination of both.
321
322 In Broadcom's Videocore-IV, they chose hybrid, and called it "Virtual
323 Parallelism". They achieve a 16-way SIMD at an **instruction** level
324 by providing a combination of a 4-way parallel ALU *and* an externally
325 transparent loop that feeds 4 sequential sets of data into each of the
326 4 ALUs.
327
328 Also in the same core, it is worth noting that particularly uncommon
329 but essential operations (Reciprocal-Square-Root for example) are
330 *not* part of the 4-way parallel ALU but instead have a *single* ALU.
331 Under the proposed Vector (varible-width SIMD) implementors would
332 be free to do precisely that: i.e. free to choose *on a per operation
333 basis* whether and how much "Virtual Parallelism" to deploy.
334
335 It is absolutely critical to note that it is proposed that such choices MUST
336 be **entirely transparent** to the end-user and the compiler. Whilst
337 a Vector (varible-width SIMD) may not precisely match the width of the
338 parallelism within the implementation, the end-user **should not care**
339 and in this way the performance benefits are gained but the ISA remains
340 straightforward. All that happens at the end of an instruction run is: some
341 parallel units (if there are any) would remain offline, completely
342 transparently to the ISA, the program, and the compiler.
343
344 To make that clear: should an implementor choose a particularly wide
345 SIMD-style ALU, each parallel unit *must* have predication so that
346 the parallel SIMD ALU may emulate variable-length parallel operations.
347 Thus the "SIMD considered harmful" trap of having huge complexity and extra
348 instructions to deal with corner-cases is thus avoided, and implementors
349 get to choose precisely where to focus and target the benefits of their
350 implementation efforts, without "extra baggage".
351
352 In addition, implementors will be free to choose whether to provide an
353 absolute bare minimum level of compliance with the "API" (software-traps
354 when vectorisation is detected), all the way up to full supercomputing
355 level all-hardware parallelism. Options are covered in the Appendix.
356
357 # CSRs <a name="csrs"></a>
358
359 There are a number of CSRs needed, which are used at the instruction
360 decode phase to re-interpret RV opcodes (a practice that has
361 precedent in the setting of MISA to enable / disable extensions).
362
363 * Integer Register N is Vector of length M: r(N) -> r(N..N+M-1)
364 * Integer Register N is of implicit bitwidth M (M=default,8,16,32,64)
365 * Floating-point Register N is Vector of length M: r(N) -> r(N..N+M-1)
366 * Floating-point Register N is of implicit bitwidth M (M=default,8,16,32,64)
367 * Integer Register N is a Predication Register (note: a key-value store)
368 * Vector Length CSR (VSETVL, VGETVL)
369
370 Notes:
371
372 * for the purposes of LOAD / STORE, Integer Registers which are
373 marked as a Vector will result in a Vector LOAD / STORE.
374 * Vector Lengths are *not* the same as vsetl but are an integral part
375 of vsetl.
376 * Actual vector length is *multipled* by how many blocks of length
377 "bitwidth" may fit into an XLEN-sized register file.
378 * Predication is a key-value store due to the implicit referencing,
379 as opposed to having the predicate register explicitly in the instruction.
380 * Whilst the predication CSR is a key-value store it *generates* easier-to-use
381 state information.
382 * TODO: assess whether the same technique could be applied to the other
383 Vector CSRs, particularly as pointed out in Section 17.8 (Draft RV 0.4,
384 V2.3-Draft ISA Reference) it becomes possible to greatly reduce state
385 needed for context-switches (empty slots need never be stored).
386
387 ## Predication CSR
388
389 The Predication CSR is a key-value store indicating whether, if a given
390 destination register (integer or floating-point) is referred to in an
391 instruction, it is to be predicated. The first entry is whether predication
392 is enabled. The second entry is whether the register index refers to a
393 floating-point or an integer register. The third entry is the index
394 of that register which is to be predicated (if referred to). The fourth entry
395 is the integer register that is treated as a bitfield, indexable by the
396 vector element index.
397
398 | RegNo | 6 | 5 | (4..0) | (4..0) |
399 | ----- | - | - | ------- | ------- |
400 | r0 | pren0 | i/f | regidx | predidx |
401 | r1 | pren1 | i/f | regidx | predidx |
402 | .. | pren.. | i/f | regidx | predidx |
403 | r15 | pren15 | i/f | regidx | predidx |
404
405 The Predication CSR Table is a key-value store, so implementation-wise
406 it will be faster to turn the table around (maintain topologically
407 equivalent state):
408
409 fp_pred_enabled[32];
410 int_pred_enabled[32];
411 for (i = 0; i < 16; i++)
412 if CSRpred[i].pren:
413 idx = CSRpred[i].regidx
414 predidx = CSRpred[i].predidx
415 if CSRpred[i].type == 0: # integer
416 int_pred_enabled[idx] = 1
417 int_pred_reg[idx] = predidx
418 else:
419 fp_pred_enabled[idx] = 1
420 fp_pred_reg[idx] = predidx
421
422 So when an operation is to be predicated, it is the internal state that
423 is used. In Section 6.4.2 of Hwacha's Manual (EECS-2015-262) the following
424 pseudo-code for operations is given, where p is the explicit (direct)
425 reference to the predication register to be used:
426
427 for (int i=0; i<vl; ++i)
428 if ([!]preg[p][i])
429 (d ? vreg[rd][i] : sreg[rd]) =
430 iop(s1 ? vreg[rs1][i] : sreg[rs1],
431 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
432
433 This instead becomes an *indirect* reference using the *internal* state
434 table generated from the Predication CSR key-value store:
435
436 if type(iop) == INT:
437 pred_enabled = int_pred_enabled
438 preg = int_pred_reg[rd]
439 else:
440 pred_enabled = fp_pred_enabled
441 preg = fp_pred_reg[rd]
442
443 for (int i=0; i<vl; ++i)
444 if (preg_enabled[rd] && [!]preg[i])
445 (d ? vreg[rd][i] : sreg[rd]) =
446 iop(s1 ? vreg[rs1][i] : sreg[rs1],
447 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
448
449 ## MAXVECTORDEPTH
450
451 MAXVECTORDEPTH is the same concept as MVL in RVV. However in Simple-V,
452 given that its primary (base, unextended) purpose is for 3D, Video and
453 other purposes (not requiring supercomputing capability), it makes sense
454 to limit MAXVECTORDEPTH to the regfile bitwidth (32 for RV32, 64 for RV64
455 and so on).
456
457 The reason for setting this limit is so that predication registers, when
458 marked as such, may fit into a single register as opposed to fanning out
459 over several registers. This keeps the implementation a little simpler.
460 Note that RVV on top of Simple-V may choose to over-ride this decision.
461
462 ## Vector-length CSRs
463
464 Vector lengths are interpreted as meaning "any instruction referring to
465 r(N) generates implicit identical instructions referring to registers
466 r(N+M-1) where M is the Vector Length". Vector Lengths may be set to
467 use up to 16 registers in the register file.
468
469 One separate CSR table is needed for each of the integer and floating-point
470 register files:
471
472 | RegNo | (3..0) |
473 | ----- | ------ |
474 | r0 | vlen0 |
475 | r1 | vlen1 |
476 | .. | vlen.. |
477 | r31 | vlen31 |
478
479 An array of 32 4-bit CSRs is needed (4 bits per register) to indicate
480 whether a register was, if referred to in any standard instructions,
481 implicitly to be treated as a vector. A vector length of 1 indicates
482 that it is to be treated as a scalar. Vector lengths of 0 are reserved.
483
484 Internally, implementations may choose to use the non-zero vector length
485 to set a bit-field per register, to be used in the instruction decode phase.
486 In this way any standard (current or future) operation involving
487 register operands may detect if the operation is to be vector-vector,
488 vector-scalar or scalar-scalar (standard) simply through a single
489 bit test.
490
491 Note that when using the "vsetl rs1, rs2" instruction (caveat: when the
492 bitwidth is specifically not set) it becomes:
493
494 CSRvlength = MIN(MIN(CSRvectorlen[rs1], MAXVECTORDEPTH), rs2)
495
496 This is in contrast to RVV:
497
498 CSRvlength = MIN(MIN(rs1, MAXVECTORDEPTH), rs2)
499
500 ## Element (SIMD) bitwidth CSRs
501
502 Element bitwidths may be specified with a per-register CSR, and indicate
503 how a register (integer or floating-point) is to be subdivided.
504
505 | RegNo | (2..0) |
506 | ----- | ------ |
507 | r0 | vew0 |
508 | r1 | vew1 |
509 | .. | vew.. |
510 | r31 | vew31 |
511
512 vew may be one of the following (giving a table "bytestable", used below):
513
514 | vew | bitwidth |
515 | --- | -------- |
516 | 000 | default |
517 | 001 | 8 |
518 | 010 | 16 |
519 | 011 | 32 |
520 | 100 | 64 |
521 | 101 | 128 |
522 | 110 | rsvd |
523 | 111 | rsvd |
524
525 Extending this table (with extra bits) is covered in the section
526 "Implementing RVV on top of Simple-V".
527
528 Note that when using the "vsetl rs1, rs2" instruction, taking bitwidth
529 into account, it becomes:
530
531 vew = CSRbitwidth[rs1]
532 if (vew == 0)
533 bytesperreg = (XLEN/8) # or FLEN as appropriate
534 else:
535 bytesperreg = bytestable[vew] # 1 2 4 8 16
536 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
537 vlen = CSRvectorlen[rs1] * simdmult
538 CSRvlength = MIN(MIN(vlen, MAXVECTORDEPTH), rs2)
539
540 The reason for multiplying the vector length by the number of SIMD elements
541 (in each individual register) is so that each SIMD element may optionally be
542 predicated.
543
544 An example of how to subdivide the register file when bitwidth != default
545 is given in the section "Bitwidth Virtual Register Reordering".
546
547 # Instructions
548
549 By being a topological remap of RVV concepts, the following RVV instructions
550 remain exactly the same: VMPOP, VMFIRST, VEXTRACT, VINSERT, VMERGE, VSELECT,
551 VSLIDE, VCLASS and VPOPC. Two instructions, VCLIP and VCLIPI, do not
552 have RV Standard equivalents, so are left out of Simple-V.
553 All other instructions from RVV are topologically re-mapped and retain
554 their complete functionality, intact.
555
556 ## Instruction Format
557
558 The instruction format for Simple-V does not actually have *any* explicit
559 compare operations, *any* arithmetic, floating point or *any*
560 memory instructions.
561 Instead it *overloads* pre-existing branch operations into predicated
562 variants, and implicitly overloads arithmetic operations and LOAD/STORE
563 depending on CSR configurations for vector length, bitwidth and
564 predication. *This includes Compressed instructions* as well as any
565 future instructions and Custom Extensions.
566
567 * For analysis of RVV see [[v_comparative_analysis]] which begins to
568 outline topologically-equivalent mappings of instructions
569 * Also see Appendix "Retro-fitting Predication into branch-explicit ISA"
570 for format of Branch opcodes.
571
572 **TODO**: *analyse and decide whether the implicit nature of predication
573 as proposed is or is not a lot of hassle, and if explicit prefixes are
574 a better idea instead. Parallelism therefore effectively may end up
575 as always being 64-bit opcodes (32 for the prefix, 32 for the instruction)
576 with some opportunities for to use Compressed bringing it down to 48.
577 Also to consider is whether one or both of the last two remaining Compressed
578 instruction codes in Quadrant 1 could be used as a parallelism prefix,
579 bringing parallelised opcodes down to 32-bit (when combined with C)
580 and having the benefit of being explicit.*
581
582 ## Branch Instruction:
583
584 This is the overloaded table for Integer-base Branch operations. Opcode
585 (bits 6..0) is set in all cases to 1100011.
586
587 [[!table data="""
588 31 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 8 | 7 | 6 ... 0 |
589 imm[12,10:5]| rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
590 7 | 5 | 5 | 3 | 4 | 1 | 7 |
591 reserved | src2 | src1 | BPR | predicate rs3 || BRANCH |
592 reserved | src2 | src1 | 000 | predicate rs3 || BEQ |
593 reserved | src2 | src1 | 001 | predicate rs3 || BNE |
594 reserved | src2 | src1 | 010 | predicate rs3 || rsvd |
595 reserved | src2 | src1 | 011 | predicate rs3 || rsvd |
596 reserved | src2 | src1 | 100 | predicate rs3 || BLE |
597 reserved | src2 | src1 | 101 | predicate rs3 || BGE |
598 reserved | src2 | src1 | 110 | predicate rs3 || BLTU |
599 reserved | src2 | src1 | 111 | predicate rs3 || BGEU |
600 """]]
601
602 Below is the overloaded table for Floating-point Predication operations.
603 Interestingly no change is needed to the instruction format because
604 FP Compare already stores a 1 or a zero in its "rd" integer register
605 target, i.e. it's not actually a Branch at all: it's a compare.
606 The target needs to simply change to be a predication bitfield (done
607 implicitly).
608
609 As with
610 Standard RVF/D/Q, Opcode (bits 6..0) is set in all cases to 1010011.
611 Likewise Single-precision, fmt bits 26..25) is still set to 00.
612 Double-precision is still set to 01, whilst Quad-precision
613 appears not to have a definition in V2.3-Draft (but should be unaffected).
614
615 It is however noted that an entry "FNE" (the opposite of FEQ) is missing,
616 and whilst in ordinary branch code this is fine because the standard
617 RVF compare can always be followed up with an integer BEQ or a BNE (or
618 a compressed comparison to zero or non-zero), in predication terms that
619 becomes more of an impact as an explicit (scalar) instruction is needed
620 to invert the predicate bitmask. An additional encoding funct3=011 is
621 therefore proposed to cater for this.
622
623 [[!table data="""
624 31 .. 27| 26 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 7 | 6 ... 0 |
625 funct5 | fmt | rs2 | rs1 | funct3 | rd | opcode |
626 5 | 2 | 5 | 5 | 3 | 4 | 7 |
627 10100 | 00/01/11 | src2 | src1 | 010 | pred rs3 | FEQ |
628 10100 | 00/01/11 | src2 | src1 | **011**| pred rs3 | FNE |
629 10100 | 00/01/11 | src2 | src1 | 001 | pred rs3 | FLT |
630 10100 | 00/01/11 | src2 | src1 | 000 | pred rs3 | FLE |
631 """]]
632
633 Note (**TBD**): floating-point exceptions will need to be extended
634 to cater for multiple exceptions (and statuses of the same). The
635 usual approach is to have an array of status codes and bit-fields,
636 and one exception, rather than throw separate exceptions for each
637 Vector element.
638
639 In Hwacha EECS-2015-262 Section 6.7.2 the following pseudocode is given
640 for predicated compare operations of function "cmp":
641
642 for (int i=0; i<vl; ++i)
643 if ([!]preg[p][i])
644 preg[pd][i] = cmp(s1 ? vreg[rs1][i] : sreg[rs1],
645 s2 ? vreg[rs2][i] : sreg[rs2]);
646
647 With associated predication, vector-length adjustments and so on,
648 and temporarily ignoring bitwidth (which makes the comparisons more
649 complex), this becomes:
650
651 if I/F == INT: # integer type cmp
652 pred_enabled = int_pred_enabled # TODO: exception if not set!
653 preg = int_pred_reg[rd]
654 reg = int_regfile
655 else:
656 pred_enabled = fp_pred_enabled # TODO: exception if not set!
657 preg = fp_pred_reg[rd]
658 reg = fp_regfile
659
660 s1 = CSRvectorlen[src1] > 1;
661 s2 = CSRvectorlen[src2] > 1;
662 for (int i=0; i<vl; ++i)
663 preg[rs3][i] = cmp(s1 ? reg[src1+i] : reg[src1],
664 s2 ? reg[src2+i] : reg[src2]);
665
666 Notes:
667
668 * Predicated SIMD comparisons would break src1 and src2 further down
669 into bitwidth-sized chunks (see Appendix "Bitwidth Virtual Register
670 Reordering") setting Vector-Length times (number of SIMD elements) bits
671 in Predicate Register rs3 as opposed to just Vector-Length bits.
672 * Predicated Branches do not actually have an adjustment to the Program
673 Counter, so all of bits 25 through 30 in every case are not needed.
674 * There are plenty of reserved opcodes for which bits 25 through 30 could
675 be put to good use if there is a suitable use-case.
676 * FEQ and FNE (and BEQ and BNE) are included in order to save one
677 instruction having to invert the resultant predicate bitfield.
678 FLT and FLE may be inverted to FGT and FGE if needed by swapping
679 src1 and src2 (likewise the integer counterparts).
680
681 ## Compressed Branch Instruction:
682
683 [[!table data="""
684 15..13 | 12...10 | 9..7 | 6..5 | 4..2 | 1..0 | name |
685 funct3 | imm | rs10 | imm | | op | |
686 3 | 3 | 3 | 2 | 3 | 2 | |
687 C.BPR | pred rs3 | src1 | I/F B | src2 | C1 | |
688 110 | pred rs3 | src1 | I/F 0 | src2 | C1 | P.EQ |
689 111 | pred rs3 | src1 | I/F 0 | src2 | C1 | P.NE |
690 110 | pred rs3 | src1 | I/F 1 | src2 | C1 | P.LT |
691 111 | pred rs3 | src1 | I/F 1 | src2 | C1 | P.LE |
692 """]]
693
694 Notes:
695
696 * Bits 5 13 14 and 15 make up the comparator type
697 * Bit 6 indicates whether to use integer or floating-point comparisons
698 * In both floating-point and integer cases there are four predication
699 comparators: EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting
700 src1 and src2).
701
702 ## LOAD / STORE Instructions
703
704 For full analysis of topological adaptation of RVV LOAD/STORE
705 see [[v_comparative_analysis]]. All three types (LD, LD.S and LD.X)
706 may be implicitly overloaded into the one base RV LOAD instruction,
707 and likewise for STORE.
708
709 Revised LOAD:
710
711 [[!table data="""
712 31 | 30 | 29 25 | 24 20 | 19 15 | 14 12 | 11 7 | 6 0 |
713 imm[11:0] |||| rs1 | funct3 | rd | opcode |
714 1 | 1 | 5 | 5 | 5 | 3 | 5 | 7 |
715 ? | s | rs2 | imm[4:0] | base | width | dest | LOAD |
716 """]]
717
718 The exact same corresponding adaptation is also carried out on the single,
719 double and quad precision floating-point LOAD-FP and STORE-FP operations,
720 which fit the exact same instruction format. Thus all three types
721 (unit, stride and indexed) may be fitted into FLW, FLD and FLQ,
722 as well as FSW, FSD and FSQ.
723
724 Notes:
725
726 * LOAD remains functionally (topologically) identical to RVV LOAD
727 (for both integer and floating-point variants).
728 * Predication CSR-marking register is not explicitly shown in instruction, it's
729 implicit based on the CSR predicate state for the rd (destination) register
730 * rs2, the source, may *also be marked as a vector*, which implicitly
731 is taken to indicate "Indexed Load" (LD.X)
732 * Bit 30 indicates "element stride" or "constant-stride" (LD or LD.S)
733 * Bit 31 is reserved (ideas under consideration: auto-increment)
734 * **TODO**: include CSR SIMD bitwidth in the pseudo-code below.
735 * **TODO**: clarify where width maps to elsize
736
737 Pseudo-code (excludes CSR SIMD bitwidth for simplicity):
738
739 if (unit-strided) stride = elsize;
740 else stride = areg[as2]; // constant-strided
741
742 pred_enabled = int_pred_enabled
743 preg = int_pred_reg[rd]
744
745 for (int i=0; i<vl; ++i)
746 if (preg_enabled[rd] && [!]preg[i])
747 for (int j=0; j<seglen+1; j++)
748 {
749 if CSRvectorised[rs2])
750 offs = vreg[rs2][i]
751 else
752 offs = i*(seglen+1)*stride;
753 vreg[rd+j][i] = mem[sreg[base] + offs + j*stride];
754 }
755
756 Taking CSR (SIMD) bitwidth into account involves using the vector
757 length and register encoding according to the "Bitwidth Virtual Register
758 Reordering" scheme shown in the Appendix (see function "regoffs").
759
760 A similar instruction exists for STORE, with identical topological
761 translation of all features. **TODO**
762
763 ## Compressed LOAD / STORE Instructions
764
765 Compressed LOAD and STORE are of the same format, where bits 2-4 are
766 a src register instead of dest:
767
768 [[!table data="""
769 15 13 | 12 10 | 9 7 | 6 5 | 4 2 | 1 0 |
770 funct3 | imm | rs10 | imm | rd0 | op |
771 3 | 3 | 3 | 2 | 3 | 2 |
772 C.LW | offset[5:3] | base | offset[2|6] | dest | C0 |
773 """]]
774
775 Unfortunately it is not possible to fit the full functionality
776 of vectorised LOAD / STORE into C.LD / C.ST: the "X" variants (Indexed)
777 require another operand (rs2) in addition to the operand width
778 (which is also missing), offset, base, and src/dest.
779
780 However a close approximation may be achieved by taking the top bit
781 of the offset in each of the five types of LD (and ST), reducing the
782 offset to 4 bits and utilising the 5th bit to indicate whether "stride"
783 is to be enabled. In this way it is at least possible to introduce
784 that functionality.
785
786 (**TODO**: *assess whether the loss of one bit from offset is worth having
787 "stride" capability.*)
788
789 We also assume (including for the "stride" variant) that the "width"
790 parameter, which is missing, is derived and implicit, just as it is
791 with the standard Compressed LOAD/STORE instructions. For C.LW, C.LD
792 and C.LQ, the width is implicitly 4, 8 and 16 respectively, whilst for
793 C.FLW and C.FLD the width is implicitly 4 and 8 respectively.
794
795 Interestingly we note that the Vectorised Simple-V variant of
796 LOAD/STORE (Compressed and otherwise), due to it effectively using the
797 standard register file(s), is the direct functional equivalent of
798 standard load-multiple and store-multiple instructions found in other
799 processors.
800
801 In Section 12.3 riscv-isa manual V2.3-draft it is noted the comments on
802 page 76, "For virtual memory systems some data accesses could be resident
803 in physical memory and some not". The interesting question then arises:
804 how does RVV deal with the exact same scenario?
805 Expired U.S. Patent 5895501 (Filing Date Sep 3 1996) describes a method
806 of detecting early page / segmentation faults and adjusting the TLB
807 in advance, accordingly: other strategies are explored in the Appendix
808 Section "Virtual Memory Page Faults".
809
810 # Exceptions
811
812 > What does an ADD of two different-sized vectors do in simple-V?
813
814 * if the two source operands are not the same, throw an exception.
815 * if the destination operand is also a vector, and the source is longer
816 than the destination, throw an exception.
817
818 > And what about instructions like JALR? 
819 > What does jumping to a vector do?
820
821 * Throw an exception. Whether that actually results in spawning threads
822 as part of the trap-handling remains to be seen.
823
824 # Impementing V on top of Simple-V
825
826 With Simple-V converting the original RVV draft concept-for-concept
827 from explicit opcodes to implicit overloading of existing RV Standard
828 Extensions, certain features were (deliberately) excluded that need
829 to be added back in for RVV to reach its full potential. This is
830 made slightly complicated by the fact that RVV itself has two
831 levels: Base and reserved future functionality.
832
833 * Representation Encoding is entirely left out of Simple-V in favour of
834 implicitly taking the exact (explicit) meaning from RV Standard Extensions.
835 * VCLIP and VCLIPI do not have corresponding RV Standard Extension
836 opcodes (and are the only such operations).
837 * Extended Element bitwidths (1 through to 24576 bits) were left out
838 of Simple-V as, again, there is no corresponding RV Standard Extension
839 that covers anything even below 32-bit operands.
840 * Polymorphism was entirely left out of Simple-V due to the inherent
841 complexity of automatic type-conversion.
842 * Vector Register files were specifically left out of Simple-V in favour
843 of fitting on top of the integer and floating-point files. An
844 "RVV re-retro-fit" needs to be able to mark (implicitly marked)
845 registers as being actually in a separate *vector* register file.
846 * Fortunately in RVV (Draft 0.4, V2.3-Draft), the "base" vector
847 register file size is 5 bits (32 registers), whilst the "Extended"
848 variant of RVV specifies 8 bits (256 registers) and has yet to
849 be published.
850 * One big difference: Sections 17.12 and 17.17, there are only two possible
851 predication registers in RVV "Base". Through the "indirect" method,
852 Simple-V provides a key-value CSR table that allows (arbitrarily)
853 up to 16 (TBD) of either the floating-point or integer registers to
854 be marked as "predicated" (key), and if so, which integer register to
855 use as the predication mask (value).
856
857 **TODO**
858
859 # Implementing P (renamed to DSP) on top of Simple-V
860
861 * Implementors indicate chosen bitwidth support in Vector-bitwidth CSR
862 (caveat: anything not specified drops through to software-emulation / traps)
863 * TODO
864
865 # Appendix
866
867 ## V-Extension to Simple-V Comparative Analysis
868
869 This section has been moved to its own page [[v_comparative_analysis]]
870
871 ## P-Ext ISA
872
873 This section has been moved to its own page [[p_comparative_analysis]]
874
875 ## Comparison of "Traditional" SIMD, Alt-RVP, Simple-V and RVV Proposals <a name="parallelism_comparisons"></a>
876
877 This section compares the various parallelism proposals as they stand,
878 including traditional SIMD, in terms of features, ease of implementation,
879 complexity, flexibility, and die area.
880
881 ### [[alt_rvp]]
882
883 Primary benefit of Alt-RVP is the simplicity with which parallelism
884 may be introduced (effective multiplication of regfiles and associated ALUs).
885
886 * plus: the simplicity of the lanes (combined with the regularity of
887 allocating identical opcodes multiple independent registers) meaning
888 that SRAM or 2R1W can be used for entire regfile (potentially).
889 * minus: a more complex instruction set where the parallelism is much
890 more explicitly directly specified in the instruction and
891 * minus: if you *don't* have an explicit instruction (opcode) and you
892 need one, the only place it can be added is... in the vector unit and
893 * minus: opcode functions (and associated ALUs) duplicated in Alt-RVP are
894 not useable or accessible in other Extensions.
895 * plus-and-minus: Lanes may be utilised for high-speed context-switching
896 but with the down-side that they're an all-or-nothing part of the Extension.
897 No Alt-RVP: no fast register-bank switching.
898 * plus: Lane-switching would mean that complex operations not suited to
899 parallelisation can be carried out, followed by further parallel Lane-based
900 work, without moving register contents down to memory (and back)
901 * minus: Access to registers across multiple lanes is challenging. "Solution"
902 is to drop data into memory and immediately back in again (like MMX).
903
904 ### Simple-V
905
906 Primary benefit of Simple-V is the OO abstraction of parallel principles
907 from actual (internal) parallel hardware. It's an API in effect that's
908 designed to be slotted in to an existing implementation (just after
909 instruction decode) with minimum disruption and effort.
910
911 * minus: the complexity of having to use register renames, OoO, VLIW,
912 register file cacheing, all of which has been done before but is a
913 pain
914 * plus: transparent re-use of existing opcodes as-is just indirectly
915 saying "this register's now a vector" which
916 * plus: means that future instructions also get to be inherently
917 parallelised because there's no "separate vector opcodes"
918 * plus: Compressed instructions may also be (indirectly) parallelised
919 * minus: the indirect nature of Simple-V means that setup (setting
920 a CSR register to indicate vector length, a separate one to indicate
921 that it is a predicate register and so on) means a little more setup
922 time than Alt-RVP or RVV's "direct and within the (longer) instruction"
923 approach.
924 * plus: shared register file meaning that, like Alt-RVP, complex
925 operations not suited to parallelisation may be carried out interleaved
926 between parallelised instructions *without* requiring data to be dropped
927 down to memory and back (into a separate vectorised register engine).
928 * plus-and-maybe-minus: re-use of integer and floating-point 32-wide register
929 files means that huge parallel workloads would use up considerable
930 chunks of the register file. However in the case of RV64 and 32-bit
931 operations, that effectively means 64 slots are available for parallel
932 operations.
933 * plus: inherent parallelism (actual parallel ALUs) doesn't actually need to
934 be added, yet the instruction opcodes remain unchanged (and still appear
935 to be parallel). consistent "API" regardless of actual internal parallelism:
936 even an in-order single-issue implementation with a single ALU would still
937 appear to have parallel vectoristion.
938 * hard-to-judge: if actual inherent underlying ALU parallelism is added it's
939 hard to say if there would be pluses or minuses (on die area). At worse it
940 would be "no worse" than existing register renaming, OoO, VLIW and register
941 file cacheing schemes.
942
943 ### RVV (as it stands, Draft 0.4 Section 17, RISC-V ISA V2.3-Draft)
944
945 RVV is extremely well-designed and has some amazing features, including
946 2D reorganisation of memory through LOAD/STORE "strides".
947
948 * plus: regular predictable workload means that implementations may
949 streamline effects on L1/L2 Cache.
950 * plus: regular and clear parallel workload also means that lanes
951 (similar to Alt-RVP) may be used as an implementation detail,
952 using either SRAM or 2R1W registers.
953 * plus: separate engine with no impact on the rest of an implementation
954 * minus: separate *complex* engine with no RTL (ALUs, Pipeline stages) reuse
955 really feasible.
956 * minus: no ISA abstraction or re-use either: additions to other Extensions
957 do not gain parallelism, resulting in prolific duplication of functionality
958 inside RVV *and out*.
959 * minus: when operations require a different approach (scalar operations
960 using the standard integer or FP regfile) an entire vector must be
961 transferred out to memory, into standard regfiles, then back to memory,
962 then back to the vector unit, this to occur potentially multiple times.
963 * minus: will never fit into Compressed instruction space (as-is. May
964 be able to do so if "indirect" features of Simple-V are partially adopted).
965 * plus-and-slight-minus: extended variants may address up to 256
966 vectorised registers (requires 48/64-bit opcodes to do it).
967 * minus-and-partial-plus: separate engine plus complexity increases
968 implementation time and die area, meaning that adoption is likely only
969 to be in high-performance specialist supercomputing (where it will
970 be absolutely superb).
971
972 ### Traditional SIMD
973
974 The only really good things about SIMD are how easy it is to implement and
975 get good performance. Unfortunately that makes it quite seductive...
976
977 * plus: really straightforward, ALU basically does several packed operations
978 at once. Parallelism is inherent at the ALU, making the addition of
979 SIMD-style parallelism an easy decision that has zero significant impact
980 on the rest of any given architectural design and layout.
981 * plus (continuation): SIMD in simple in-order single-issue designs can
982 therefore result in superb throughput, easily achieved even with a very
983 simple execution model.
984 * minus: ridiculously complex setup and corner-cases that disproportionately
985 increase instruction count on what would otherwise be a "simple loop",
986 should the number of elements in an array not happen to exactly match
987 the SIMD group width.
988 * minus: getting data usefully out of registers (if separate regfiles
989 are used) means outputting to memory and back.
990 * minus: quite a lot of supplementary instructions for bit-level manipulation
991 are needed in order to efficiently extract (or prepare) SIMD operands.
992 * minus: MASSIVE proliferation of ISA both in terms of opcodes in one
993 dimension and parallelism (width): an at least O(N^2) and quite probably
994 O(N^3) ISA proliferation that often results in several thousand
995 separate instructions. all requiring separate and distinct corner-case
996 algorithms!
997 * minus: EVEN BIGGER proliferation of SIMD ISA if the functionality of
998 8, 16, 32 or 64-bit reordering is built-in to the SIMD instruction.
999 For example: add (high|low) 16-bits of r1 to (low|high) of r2 requires
1000 four separate and distinct instructions: one for (r1:low r2:high),
1001 one for (r1:high r2:low), one for (r1:high r2:high) and one for
1002 (r1:low r2:low) *per function*.
1003 * minus: EVEN BIGGER proliferation of SIMD ISA if there is a mismatch
1004 between operand and result bit-widths. In combination with high/low
1005 proliferation the situation is made even worse.
1006 * minor-saving-grace: some implementations *may* have predication masks
1007 that allow control over individual elements within the SIMD block.
1008
1009 ## Comparison *to* Traditional SIMD: Alt-RVP, Simple-V and RVV Proposals <a name="simd_comparison"></a>
1010
1011 This section compares the various parallelism proposals as they stand,
1012 *against* traditional SIMD as opposed to *alongside* SIMD. In other words,
1013 the question is asked "How can each of the proposals effectively implement
1014 (or replace) SIMD, and how effective would they be"?
1015
1016 ### [[alt_rvp]]
1017
1018 * Alt-RVP would not actually replace SIMD but would augment it: just as with
1019 a SIMD architecture where the ALU becomes responsible for the parallelism,
1020 Alt-RVP ALUs would likewise be so responsible... with *additional*
1021 (lane-based) parallelism on top.
1022 * Thus at least some of the downsides of SIMD ISA O(N^3) proliferation by
1023 at least one dimension are avoided (architectural upgrades introducing
1024 128-bit then 256-bit then 512-bit variants of the exact same 64-bit
1025 SIMD block)
1026 * Thus, unfortunately, Alt-RVP would suffer the same inherent proliferation
1027 of instructions as SIMD, albeit not quite as badly (due to Lanes).
1028 * In the same discussion for Alt-RVP, an additional proposal was made to
1029 be able to subdivide the bits of each register lane (columns) down into
1030 arbitrary bit-lengths (RGB 565 for example).
1031 * A recommendation was given instead to make the subdivisions down to 32-bit,
1032 16-bit or even 8-bit, effectively dividing the registerfile into
1033 Lane0(H), Lane0(L), Lane1(H) ... LaneN(L) or further. If inter-lane
1034 "swapping" instructions were then introduced, some of the disadvantages
1035 of SIMD could be mitigated.
1036
1037 ### RVV
1038
1039 * RVV is designed to replace SIMD with a better paradigm: arbitrary-length
1040 parallelism.
1041 * However whilst SIMD is usually designed for single-issue in-order simple
1042 DSPs with a focus on Multimedia (Audio, Video and Image processing),
1043 RVV's primary focus appears to be on Supercomputing: optimisation of
1044 mathematical operations that fit into the OpenCL space.
1045 * Adding functions (operations) that would normally fit (in parallel)
1046 into a SIMD instruction requires an equivalent to be added to the
1047 RVV Extension, if one does not exist. Given the specialist nature of
1048 some SIMD instructions (8-bit or 16-bit saturated or halving add),
1049 this possibility seems extremely unlikely to occur, even if the
1050 implementation overhead of RVV were acceptable (compared to
1051 normal SIMD/DSP-style single-issue in-order simplicity).
1052
1053 ### Simple-V
1054
1055 * Simple-V borrows hugely from RVV as it is intended to be easy to
1056 topologically transplant every single instruction from RVV (as
1057 designed) into Simple-V equivalents, with *zero loss of functionality
1058 or capability*.
1059 * With the "parallelism" abstracted out, a hypothetical SIMD-less "DSP"
1060 Extension which contained the basic primitives (non-parallelised
1061 8, 16 or 32-bit SIMD operations) inherently *become* parallel,
1062 automatically.
1063 * Additionally, standard operations (ADD, MUL) that would normally have
1064 to have special SIMD-parallel opcodes added need no longer have *any*
1065 of the length-dependent variants (2of 32-bit ADDs in a 64-bit register,
1066 4of 32-bit ADDs in a 128-bit register) because Simple-V takes the
1067 *standard* RV opcodes (present and future) and automatically parallelises
1068 them.
1069 * By inheriting the RVV feature of arbitrary vector-length, then just as
1070 with RVV the corner-cases and ISA proliferation of SIMD is avoided.
1071 * Whilst not entirely finalised, registers are expected to be
1072 capable of being subdivided down to an implementor-chosen bitwidth
1073 in the underlying hardware (r1 becomes r1[31..24] r1[23..16] r1[15..8]
1074 and r1[7..0], or just r1[31..16] r1[15..0]) where implementors can
1075 choose to have separate independent 8-bit ALUs or dual-SIMD 16-bit
1076 ALUs that perform twin 8-bit operations as they see fit, or anything
1077 else including no subdivisions at all.
1078 * Even though implementors have that choice even to have full 64-bit
1079 (with RV64) SIMD, they *must* provide predication that transparently
1080 switches off appropriate units on the last loop, thus neatly fitting
1081 underlying SIMD ALU implementations *into* the arbitrary vector-length
1082 RVV paradigm, keeping the uniform consistent API that is a key strategic
1083 feature of Simple-V.
1084 * With Simple-V fitting into the standard register files, certain classes
1085 of SIMD operations such as High/Low arithmetic (r1[31..16] + r2[15..0])
1086 can be done by applying *Parallelised* Bit-manipulation operations
1087 followed by parallelised *straight* versions of element-to-element
1088 arithmetic operations, even if the bit-manipulation operations require
1089 changing the bitwidth of the "vectors" to do so. Predication can
1090 be utilised to skip high words (or low words) in source or destination.
1091 * In essence, the key downside of SIMD - massive duplication of
1092 identical functions over time as an architecture evolves from 32-bit
1093 wide SIMD all the way up to 512-bit, is avoided with Simple-V, through
1094 vector-style parallelism being dropped on top of 8-bit or 16-bit
1095 operations, all the while keeping a consistent ISA-level "API" irrespective
1096 of implementor design choices (or indeed actual implementations).
1097
1098 ### Example Instruction translation: <a name="example_translation"></a>
1099
1100 Instructions "ADD r2 r4 r4" would result in three instructions being
1101 generated and placed into the FIFO:
1102
1103 * ADD r2 r4 r4
1104 * ADD r2 r5 r5
1105 * ADD r2 r6 r6
1106
1107 ## Example of vector / vector, vector / scalar, scalar / scalar => vector add
1108
1109 register CSRvectorlen[XLEN][4]; # not quite decided yet about this one...
1110 register CSRpredicate[XLEN][4]; # 2^4 is max vector length
1111 register CSRreg_is_vectorised[XLEN]; # just for fun support scalars as well
1112 register x[32][XLEN];
1113
1114 function op_add(rd, rs1, rs2, predr)
1115 {
1116    /* note that this is ADD, not PADD */
1117    int i, id, irs1, irs2;
1118    # checks CSRvectorlen[rd] == CSRvectorlen[rs] etc. ignored
1119    # also destination makes no sense as a scalar but what the hell...
1120    for (i = 0, id=0, irs1=0, irs2=0; i<CSRvectorlen[rd]; i++)
1121       if (CSRpredicate[predr][i]) # i *think* this is right...
1122          x[rd+id] <= x[rs1+irs1] + x[rs2+irs2];
1123       # now increment the idxs
1124       if (CSRreg_is_vectorised[rd]) # bitfield check rd, scalar/vector?
1125          id += 1;
1126       if (CSRreg_is_vectorised[rs1]) # bitfield check rs1, scalar/vector?
1127          irs1 += 1;
1128       if (CSRreg_is_vectorised[rs2]) # bitfield check rs2, scalar/vector?
1129          irs2 += 1;
1130 }
1131
1132 ## Retro-fitting Predication into branch-explicit ISA <a name="predication_retrofit"></a>
1133
1134 One of the goals of this parallelism proposal is to avoid instruction
1135 duplication. However, with the base ISA having been designed explictly
1136 to *avoid* condition-codes entirely, shoe-horning predication into it
1137 bcomes quite challenging.
1138
1139 However what if all branch instructions, if referencing a vectorised
1140 register, were instead given *completely new analogous meanings* that
1141 resulted in a parallel bit-wise predication register being set? This
1142 would have to be done for both C.BEQZ and C.BNEZ, as well as BEQ, BNE,
1143 BLT and BGE.
1144
1145 We might imagine that FEQ, FLT and FLT would also need to be converted,
1146 however these are effectively *already* in the precise form needed and
1147 do not need to be converted *at all*! The difference is that FEQ, FLT
1148 and FLE *specifically* write a 1 to an integer register if the condition
1149 holds, and 0 if not. All that needs to be done here is to say, "if
1150 the integer register is tagged with a bit that says it is a predication
1151 register, the **bit** in the integer register is set based on the
1152 current vector index" instead.
1153
1154 There is, in the standard Conditional Branch instruction, more than
1155 adequate space to interpret it in a similar fashion:
1156
1157 [[!table data="""
1158 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
1159 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
1160 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
1161 offset[12,10:5] || src2 | src1 | BEQ | offset[11,4:1] || BRANCH |
1162 """]]
1163
1164 This would become:
1165
1166 [[!table data="""
1167 31 | 30 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 8 | 7 | 6 ... 0 |
1168 imm[12] | imm[10:5]| rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
1169 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
1170 reserved || src2 | src1 | BEQ | predicate rs3 || BRANCH |
1171 """]]
1172
1173 Similarly the C.BEQZ and C.BNEZ instruction format may be retro-fitted,
1174 with the interesting side-effect that there is space within what is presently
1175 the "immediate offset" field to reinterpret that to add in not only a bit
1176 field to distinguish between floating-point compare and integer compare,
1177 not only to add in a second source register, but also use some of the bits as
1178 a predication target as well.
1179
1180 [[!table data="""
1181 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 ................. 2 | 1 .. 0 |
1182 funct3 | imm | rs10 | imm | op |
1183 3 | 3 | 3 | 5 | 2 |
1184 C.BEQZ | offset[8,4:3] | src | offset[7:6,2:1,5] | C1 |
1185 """]]
1186
1187 Now uses the CS format:
1188
1189 [[!table data="""
1190 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 .. 5 | 4......... 2 | 1 .. 0 |
1191 funct3 | imm | rs10 | imm | | op |
1192 3 | 3 | 3 | 2 | 3 | 2 |
1193 C.BEQZ | predicate rs3 | src1 | I/F B | src2 | C1 |
1194 """]]
1195
1196 Bit 6 would be decoded as "operation refers to Integer or Float" including
1197 interpreting src1 and src2 accordingly as outlined in Table 12.2 of the
1198 "C" Standard, version 2.0,
1199 whilst Bit 5 would allow the operation to be extended, in combination with
1200 funct3 = 110 or 111: a combination of four distinct (predicated) comparison
1201 operators. In both floating-point and integer cases those could be
1202 EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting src1 and src2).
1203
1204 ## Register reordering <a name="register_reordering"></a>
1205
1206 ### Register File
1207
1208 | Reg Num | Bits |
1209 | ------- | ---- |
1210 | r0 | (32..0) |
1211 | r1 | (32..0) |
1212 | r2 | (32..0) |
1213 | r3 | (32..0) |
1214 | r4 | (32..0) |
1215 | r5 | (32..0) |
1216 | r6 | (32..0) |
1217 | r7 | (32..0) |
1218 | .. | (32..0) |
1219 | r31| (32..0) |
1220
1221 ### Vectorised CSR
1222
1223 May not be an actual CSR: may be generated from Vector Length CSR:
1224 single-bit is less burdensome on instruction decode phase.
1225
1226 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |
1227 | - | - | - | - | - | - | - | - |
1228 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 |
1229
1230 ### Vector Length CSR
1231
1232 | Reg Num | (3..0) |
1233 | ------- | ---- |
1234 | r0 | 2 |
1235 | r1 | 0 |
1236 | r2 | 1 |
1237 | r3 | 1 |
1238 | r4 | 3 |
1239 | r5 | 0 |
1240 | r6 | 0 |
1241 | r7 | 1 |
1242
1243 ### Virtual Register Reordering
1244
1245 This example assumes the above Vector Length CSR table
1246
1247 | Reg Num | Bits (0) | Bits (1) | Bits (2) |
1248 | ------- | -------- | -------- | -------- |
1249 | r0 | (32..0) | (32..0) |
1250 | r2 | (32..0) |
1251 | r3 | (32..0) |
1252 | r4 | (32..0) | (32..0) | (32..0) |
1253 | r7 | (32..0) |
1254
1255 ### Bitwidth Virtual Register Reordering
1256
1257 This example goes a little further and illustrates the effect that a
1258 bitwidth CSR has been set on a register. Preconditions:
1259
1260 * RV32 assumed
1261 * CSRintbitwidth[2] = 010 # integer r2 is 16-bit
1262 * CSRintvlength[2] = 3 # integer r2 is a vector of length 3
1263 * vsetl rs1, 5 # set the vector length to 5
1264
1265 This is interpreted as follows:
1266
1267 * Given that the context is RV32, ELEN=32.
1268 * With ELEN=32 and bitwidth=16, the number of SIMD elements is 2
1269 * Therefore the actual vector length is up to *six* elements
1270 * However vsetl sets a length 5 therefore the last "element" is skipped
1271
1272 So when using an operation that uses r2 as a source (or destination)
1273 the operation is carried out as follows:
1274
1275 * 16-bit operation on r2(15..0) - vector element index 0
1276 * 16-bit operation on r2(31..16) - vector element index 1
1277 * 16-bit operation on r3(15..0) - vector element index 2
1278 * 16-bit operation on r3(31..16) - vector element index 3
1279 * 16-bit operation on r4(15..0) - vector element index 4
1280 * 16-bit operation on r4(31..16) **NOT** carried out due to length being 5
1281
1282 Predication has been left out of the above example for simplicity, however
1283 predication is ANDed with the latter stages (vsetl not equal to maximum
1284 capacity).
1285
1286 Note also that it is entirely an implementor's choice as to whether to have
1287 actual separate ALUs down to the minimum bitwidth, or whether to have something
1288 more akin to traditional SIMD (at any level of subdivision: 8-bit SIMD
1289 operations carried out 32-bits at a time is perfectly acceptable, as is
1290 8-bit SIMD operations carried out 16-bits at a time requiring two ALUs).
1291 Regardless of the internal parallelism choice, *predication must
1292 still be respected*, making Simple-V in effect the "consistent public API".
1293
1294 vew may be one of the following (giving a table "bytestable", used below):
1295
1296 | vew | bitwidth |
1297 | --- | -------- |
1298 | 000 | default |
1299 | 001 | 8 |
1300 | 010 | 16 |
1301 | 011 | 32 |
1302 | 100 | 64 |
1303 | 101 | 128 |
1304 | 110 | rsvd |
1305 | 111 | rsvd |
1306
1307 Pseudocode for vector length taking CSR SIMD-bitwidth into account:
1308
1309 vew = CSRbitwidth[rs1]
1310 if (vew == 0)
1311 bytesperreg = (XLEN/8) # or FLEN as appropriate
1312 else:
1313 bytesperreg = bytestable[vew] # 1 2 4 8 16
1314 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
1315 vlen = CSRvectorlen[rs1] * simdmult
1316
1317 To index an element in a register rnum where the vector element index is i:
1318
1319 function regoffs(rnum, i):
1320 regidx = floor(i / simdmult) # integer-div rounded down
1321 byteidx = i % simdmult # integer-remainder
1322 return rnum + regidx, # actual real register
1323 byteidx * 8, # low
1324 byteidx * 8 + (vew-1), # high
1325
1326 ### Insights
1327
1328 SIMD register file splitting still to consider. For RV64, benefits of doubling
1329 (quadrupling in the case of Half-Precision IEEE754 FP) the apparent
1330 size of the floating point register file to 64 (128 in the case of HP)
1331 seem pretty clear and worth the complexity.
1332
1333 64 virtual 32-bit F.P. registers and given that 32-bit FP operations are
1334 done on 64-bit registers it's not so conceptually difficult.  May even
1335 be achieved by *actually* splitting the regfile into 64 virtual 32-bit
1336 registers such that a 64-bit FP scalar operation is dropped into (r0.H
1337 r0.L) tuples.  Implementation therefore hidden through register renaming.
1338
1339 Implementations intending to introduce VLIW, OoO and parallelism
1340 (even without Simple-V) would then find that the instructions are
1341 generated quicker (or in a more compact fashion that is less heavy
1342 on caches). Interestingly we observe then that Simple-V is about
1343 "consolidation of instruction generation", where actual parallelism
1344 of underlying hardware is an implementor-choice that could just as
1345 equally be applied *without* Simple-V even being implemented.
1346
1347 ## Analysis of CSR decoding on latency <a name="csr_decoding_analysis"></a>
1348
1349 It could indeed have been logically deduced (or expected), that there
1350 would be additional decode latency in this proposal, because if
1351 overloading the opcodes to have different meanings, there is guaranteed
1352 to be some state, some-where, directly related to registers.
1353
1354 There are several cases:
1355
1356 * All operands vector-length=1 (scalars), all operands
1357 packed-bitwidth="default": instructions are passed through direct as if
1358 Simple-V did not exist.  Simple-V is, in effect, completely disabled.
1359 * At least one operand vector-length > 1, all operands
1360 packed-bitwidth="default": any parallel vector ALUs placed on "alert",
1361 virtual parallelism looping may be activated.
1362 * All operands vector-length=1 (scalars), at least one
1363 operand packed-bitwidth != default: degenerate case of SIMD,
1364 implementation-specific complexity here (packed decode before ALUs or
1365 *IN* ALUs)
1366 * At least one operand vector-length > 1, at least one operand
1367 packed-bitwidth != default: parallel vector ALUs (if any)
1368 placed on "alert", virtual parallelsim looping may be activated,
1369 implementation-specific SIMD complexity kicks in (packed decode before
1370 ALUs or *IN* ALUs).
1371
1372 Bear in mind that the proposal includes that the decision whether
1373 to parallelise in hardware or whether to virtual-parallelise (to
1374 dramatically simplify compilers and also not to run into the SIMD
1375 instruction proliferation nightmare) *or* a transprent combination
1376 of both, be done on a *per-operand basis*, so that implementors can
1377 specifically choose to create an application-optimised implementation
1378 that they believe (or know) will sell extremely well, without having
1379 "Extra Standards-Mandated Baggage" that would otherwise blow their area
1380 or power budget completely out the window.
1381
1382 Additionally, two possible CSR schemes have been proposed, in order to
1383 greatly reduce CSR space:
1384
1385 * per-register CSRs (vector-length and packed-bitwidth)
1386 * a smaller number of CSRs with the same information but with an *INDEX*
1387 specifying WHICH register in one of three regfiles (vector, fp, int)
1388 the length and bitwidth applies to.
1389
1390 (See "CSR vector-length and CSR SIMD packed-bitwidth" section for details)
1391
1392 In addition, LOAD/STORE has its own associated proposed CSRs that
1393 mirror the STRIDE (but not yet STRIDE-SEGMENT?) functionality of
1394 V (and Hwacha).
1395
1396 Also bear in mind that, for reasons of simplicity for implementors,
1397 I was coming round to the idea of permitting implementors to choose
1398 exactly which bitwidths they would like to support in hardware and which
1399 to allow to fall through to software-trap emulation.
1400
1401 So the question boils down to:
1402
1403 * whether either (or both) of those two CSR schemes have significant
1404 latency that could even potentially require an extra pipeline decode stage
1405 * whether there are implementations that can be thought of which do *not*
1406 introduce significant latency
1407 * whether it is possible to explicitly (through quite simply
1408 disabling Simple-V-Ext) or implicitly (detect the case all-vlens=1,
1409 all-simd-bitwidths=default) switch OFF any decoding, perhaps even to
1410 the extreme of skipping an entire pipeline stage (if one is needed)
1411 * whether packed bitwidth and associated regfile splitting is so complex
1412 that it should definitely, definitely be made mandatory that implementors
1413 move regfile splitting into the ALU, and what are the implications of that
1414 * whether even if that *is* made mandatory, is software-trapped
1415 "unsupported bitwidths" still desirable, on the basis that SIMD is such
1416 a complete nightmare that *even* having a software implementation is
1417 better, making Simple-V have more in common with a software API than
1418 anything else.
1419
1420 Whilst the above may seem to be severe minuses, there are some strong
1421 pluses:
1422
1423 * Significant reduction of V's opcode space: over 85%.
1424 * Smaller reduction of P's opcode space: around 10%.
1425 * The potential to use Compressed instructions in both Vector and SIMD
1426 due to the overloading of register meaning (implicit vectorisation,
1427 implicit packing)
1428 * Not only present but also future extensions automatically gain parallelism.
1429 * Already mentioned but worth emphasising: the simplification to compiler
1430 writers and assembly-level writers of having the same consistent ISA
1431 regardless of whether the internal level of parallelism (number of
1432 parallel ALUs) is only equal to one ("virtual" parallelism), or is
1433 greater than one, should not be underestimated.
1434
1435 ## Reducing Register Bank porting
1436
1437 This looks quite reasonable.
1438 <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1439
1440 The main details are outlined on page 4.  They propose a 2-level register
1441 cache hierarchy, note that registers are typically only read once, that
1442 you never write back from upper to lower cache level but always go in a
1443 cycle lower -> upper -> ALU -> lower, and at the top of page 5 propose
1444 a scheme where you look ahead by only 2 instructions to determine which
1445 registers to bring into the cache.
1446
1447 The nice thing about a vector architecture is that you *know* that
1448 *even more* registers are going to be pulled in: Hwacha uses this fact
1449 to optimise L1/L2 cache-line usage (avoid thrashing), strangely enough
1450 by *introducing* deliberate latency into the execution phase.
1451
1452 ## Overflow registers in combination with predication
1453
1454 **TODO**: propose overflow registers be actually one of the integer regs
1455 (flowing to multiple regs).
1456
1457 **TODO**: propose "mask" (predication) registers likewise. combination with
1458 standard RV instructions and overflow registers extremely powerful, see
1459 Aspex ASP.
1460
1461 When integer overflow is stored in an easily-accessible bit (or another
1462 register), parallelisation turns this into a group of bits which can
1463 potentially be interacted with in predication, in interesting and powerful
1464 ways. For example, by taking the integer-overflow result as a predication
1465 field and shifting it by one, a predicated vectorised "add one" can emulate
1466 "carry" on arbitrary (unlimited) length addition.
1467
1468 However despite RVV having made room for floating-point exceptions, neither
1469 RVV nor base RV have taken integer-overflow (carry) into account, which
1470 makes proposing it quite challenging given that the relevant (Base) RV
1471 sections are frozen. Consequently it makes sense to forgo this feature.
1472
1473 ## Virtual Memory page-faults on LOAD/STORE
1474
1475
1476 ### Notes from conversations
1477
1478 > I was going through the C.LOAD / C.STORE section 12.3 of V2.3-Draft
1479 > riscv-isa-manual in order to work out how to re-map RVV onto the standard
1480 > ISA, and came across an interesting comments at the bottom of pages 75
1481 > and 76:
1482
1483 > " A common mechanism used in other ISAs to further reduce save/restore
1484 > code size is load- multiple and store-multiple instructions. "
1485
1486 > Fascinatingly, due to Simple-V proposing to use the *standard* register
1487 > file, both C.LOAD / C.STORE *and* LOAD / STORE would in effect be exactly
1488 > that: load-multiple and store-multiple instructions. Which brings us
1489 > on to this comment:
1490
1491 > "For virtual memory systems, some data accesses could be resident in
1492 > physical memory and
1493 > some could not, which requires a new restart mechanism for partially
1494 > executed instructions."
1495
1496 > Which then of course brings us to the interesting question: how does RVV
1497 > cope with the scenario when, particularly with LD.X (Indexed / indirect
1498 > loads), part-way through the loading a page fault occurs?
1499
1500 > Has this been noted or discussed before?
1501
1502 For applications-class platforms, the RVV exception model is
1503 element-precise (that is, if an exception occurs on element j of a
1504 vector instruction, elements 0..j-1 have completed execution and elements
1505 j+1..vl-1 have not executed).
1506
1507 Certain classes of embedded platforms where exceptions are always fatal
1508 might choose to offer resumable/swappable interrupts but not precise
1509 exceptions.
1510
1511
1512 > Is RVV designed in any way to be re-entrant?
1513
1514 Yes.
1515
1516
1517 > What would the implications be for instructions that were in a FIFO at
1518 > the time, in out-of-order and VLIW implementations, where partial decode
1519 > had taken place?
1520
1521 The usual bag of tricks for maintaining precise exceptions applies to
1522 vector machines as well. Register renaming makes the job easier, and
1523 it's relatively cheaper for vectors, since the control cost is amortized
1524 over longer registers.
1525
1526
1527 > Would it be reasonable at least to say *bypass* (and freeze) the
1528 > instruction FIFO (drop down to a single-issue execution model temporarily)
1529 > for the purposes of executing the instructions in the interrupt (whilst
1530 > setting up the VM page), then re-continue the instruction with all
1531 > state intact?
1532
1533 This approach has been done successfully, but it's desirable to be
1534 able to swap out the vector unit state to support context switches on
1535 exceptions that result in long-latency I/O.
1536
1537
1538 > Or would it be better to switch to an entirely separate secondary
1539 > hyperthread context?
1540
1541 > Does anyone have any ideas or know if there is any academic literature
1542 > on solutions to this problem?
1543
1544 The Vector VAX offered imprecise but restartable and swappable exceptions:
1545 http://mprc.pku.edu.cn/~liuxianhua/chn/corpus/Notes/articles/isca/1990/VAX%20vector%20architecture.pdf
1546
1547 Sec. 4.6 of Krste's dissertation assesses some of
1548 the tradeoffs and references a bunch of related work:
1549 http://people.eecs.berkeley.edu/~krste/thesis.pdf
1550
1551
1552 ----
1553
1554 Started reading section 4.6 of Krste's thesis, noted the "IEE85 F.P
1555 exceptions" and thought, "hmmm that could go into a CSR, must re-read
1556 the section on FP state CSRs in RVV 0.4-Draft again" then i suddenly
1557 thought, "ah ha! what if the memory exceptions were, instead of having
1558 an immediate exception thrown, were simply stored in a type of predication
1559 bit-field with a flag "error this element failed"?
1560
1561 Then, *after* the vector load (or store, or even operation) was
1562 performed, you could *then* raise an exception, at which point it
1563 would be possible (yes in software... I know....) to go "hmmm, these
1564 indexed operations didn't work, let's get them into memory by triggering
1565 page-loads", then *re-run the entire instruction* but this time with a
1566 "memory-predication CSR" that stops the already-performed operations
1567 (whether they be loads, stores or an arithmetic / FP operation) from
1568 being carried out a second time.
1569
1570 This theoretically could end up being done multiple times in an SMP
1571 environment, and also for LD.X there would be the remote outside annoying
1572 possibility that the indexed memory address could end up being modified.
1573
1574 The advantage would be that the order of execution need not be
1575 sequential, which potentially could have some big advantages.
1576 Am still thinking through the implications as any dependent operations
1577 (particularly ones already decoded and moved into the execution FIFO)
1578 would still be there (and stalled). hmmm.
1579
1580 ----
1581
1582 > > # assume internal parallelism of 8 and MAXVECTORLEN of 8
1583 > > VSETL r0, 8
1584 > > FADD x1, x2, x3
1585 >
1586 > > x3[0]: ok
1587 > > x3[1]: exception
1588 > > x3[2]: ok
1589 > > ...
1590 > > ...
1591 > > x3[7]: ok
1592 >
1593 > > what happens to result elements 2-7?  those may be *big* results
1594 > > (RV128)
1595 > > or in the RVV-Extended may be arbitrary bit-widths far greater.
1596 >
1597 >  (you replied:)
1598 >
1599 > Thrown away.
1600
1601 discussion then led to the question of OoO architectures
1602
1603 > The costs of the imprecise-exception model are greater than the benefit.
1604 > Software doesn't want to cope with it.  It's hard to debug.  You can't
1605 > migrate state between different microarchitectures--unless you force all
1606 > implementations to support the same imprecise-exception model, which would
1607 > greatly limit implementation flexibility.  (Less important, but still
1608 > relevant, is that the imprecise model increases the size of the context
1609 > structure, as the microarchitectural guts have to be spilled to memory.)
1610
1611
1612 ## Implementation Paradigms
1613
1614 TODO: assess various implementation paradigms. These are listed roughly
1615 in order of simplicity (minimum compliance, for ultra-light-weight
1616 embedded systems or to reduce design complexity and the burden of
1617 design implementation and compliance, in non-critical areas), right the
1618 way to high-performance systems.
1619
1620 * Full (or partial) software-emulated (via traps): full support for CSRs
1621 required, however when a register is used that is detected (in hardware)
1622 to be vectorised, an exception is thrown.
1623 * Single-issue In-order, reduced pipeline depth (traditional SIMD / DSP)
1624 * In-order 5+ stage pipelines with instruction FIFOs and mild register-renaming
1625 * Out-of-order with instruction FIFOs and aggressive register-renaming
1626 * VLIW
1627
1628 Also to be taken into consideration:
1629
1630 * "Virtual" vectorisation: single-issue loop, no internal ALU parallelism
1631 * Comphrensive vectorisation: FIFOs and internal parallelism
1632 * Hybrid Parallelism
1633
1634 # TODO Research
1635
1636 > For great floating point DSPs check TI’s C3x, C4X, and C6xx DSPs
1637
1638 Idea: basic simple butterfly swap on a few element indices, primarily targetted
1639 at SIMD / DSP. High-byte low-byte swapping, high-word low-word swapping,
1640 perhaps allow reindexing of permutations up to 4 elements? 8? Reason:
1641 such operations are less costly than a full indexed-shuffle, which requires
1642 a separate instruction cycle.
1643
1644 Predication "all zeros" needs to be "leave alone". Detection of
1645 ADD r1, rs1, rs0 cases result in nop on predication index 0, whereas
1646 ADD r0, rs1, rs2 is actually a desirable copy from r2 into r0.
1647 Destruction of destination indices requires a copy of the entire vector
1648 in advance to avoid.
1649
1650 # References
1651
1652 * SIMD considered harmful <https://www.sigarch.org/simd-instructions-considered-harmful/>
1653 * Link to first proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/GuukrSjgBH8>
1654 * Recommendation by Jacob Bachmeyer to make zero-overhead loop an
1655 "implicit program-counter" <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/vYVi95gF2Mo/SHz6a4_lAgAJ>
1656 * Re-continuing P-Extension proposal <https://groups.google.com/a/groups.riscv.org/forum/#!msg/isa-dev/IkLkQn3HvXQ/SEMyC9IlAgAJ>
1657 * First Draft P-SIMD (DSP) proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/vYVi95gF2Mo>
1658 * B-Extension discussion <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/zi_7B15kj6s>
1659 * Broadcom VideoCore-IV <https://docs.broadcom.com/docs/12358545>
1660 Figure 2 P17 and Section 3 on P16.
1661 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-262.html>
1662 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-263.html>
1663 * Vector Workshop <http://riscv.org/wp-content/uploads/2015/06/riscv-vector-workshop-june2015.pdf>
1664 * Predication <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/XoP4BfYSLXA>
1665 * Branch Divergence <https://jbush001.github.io/2014/12/07/branch-divergence-in-parallel-kernels.html>
1666 * Life of Triangles (3D) <https://jbush001.github.io/2016/02/27/life-of-triangle.html>
1667 * Videocore-IV <https://github.com/hermanhermitage/videocoreiv/wiki/VideoCore-IV-3d-Graphics-Pipeline>
1668 * Discussion proposing CSRs that change ISA definition
1669 <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/InzQ1wr_3Ak>
1670 * Zero-overhead loops <https://pdfs.semanticscholar.org/dbaa/66985cc730d4b44d79f519e96ec9c43ab5b7.pdf>
1671 * Multi-ported VLIW Register File Implementation <https://ce-publications.et.tudelft.nl/publications/1517_multiple_contexts_in_a_multiported_vliw_register_file_impl.pdf>
1672 * Fast context save/restore proposal <https://groups.google.com/a/groups.riscv.org/d/msgid/isa-dev/57F823FA.6030701%40gmail.com>
1673 * Register File Bank Cacheing <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1674 * Expired Patent on Vector Virtual Memory solutions
1675 <https://patentimages.storage.googleapis.com/fc/f6/e2/2cbee92fcd8743/US5895501.pdf>
1676 * Discussion on RVV "re-entrant" capabilities allowing operations to be
1677 restarted if an exception occurs (VM page-table miss)
1678 <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/IuNFitTw9fM/CCKBUlzsAAAJ>
1679 * Dot Product Vector <https://people.eecs.berkeley.edu/~biancolin/papers/arith17.pdf>