add implementation paradigms
[libreriscv.git] / simple_v_extension.mdwn
1 # Variable-width Variable-packed SIMD / Simple-V / Parallelism Extension Proposal
2
3 Key insight: Simple-V is intended as an abstraction layer to provide
4 a consistent "API" to parallelisation of existing *and future* operations.
5 *Actual* internal hardware-level parallelism is *not* required, such
6 that Simple-V may be viewed as providing a "compact" or "consolidated"
7 means of issuing multiple near-identical arithmetic instructions to an
8 instruction queue (FIFO), pending execution.
9
10 *Actual* parallelism, if added independently of Simple-V in the form
11 of Out-of-order restructuring (including parallel ALU lanes) or VLIW
12 implementations, or SIMD, or anything else, would then benefit *if*
13 Simple-V was added on top.
14
15 [[!toc ]]
16
17 # Introduction
18
19 This proposal exists so as to be able to satisfy several disparate
20 requirements: power-conscious, area-conscious, and performance-conscious
21 designs all pull an ISA and its implementation in different conflicting
22 directions, as do the specific intended uses for any given implementation.
23
24 Additionally, the existing P (SIMD) proposal and the V (Vector) proposals,
25 whilst each extremely powerful in their own right and clearly desirable,
26 are also:
27
28 * Clearly independent in their origins (Cray and AndesStar v3 respectively)
29 so need work to adapt to the RISC-V ethos and paradigm
30 * Are sufficiently large so as to make adoption (and exploration for
31 analysis and review purposes) prohibitively expensive
32 * Both contain partial duplication of pre-existing RISC-V instructions
33 (an undesirable characteristic)
34 * Both have independent and disparate methods for introducing parallelism
35 at the instruction level.
36 * Both require that their respective parallelism paradigm be implemented
37 along-side and integral to their respective functionality *or not at all*.
38 * Both independently have methods for introducing parallelism that
39 could, if separated, benefit
40 *other areas of RISC-V not just DSP or Floating-point respectively*.
41
42 There are also key differences between Vectorisation and SIMD (full
43 details outlined in the Appendix), the key points being:
44
45 * SIMD has an extremely seductively compelling ease of implementation argument:
46 each operation is passed to the ALU, which is where the parallelism
47 lies. There is *negligeable* (if any) impact on the rest of the core
48 (with life instead being made hell for compiler writers and applications
49 writers due to extreme ISA proliferation).
50 * By contrast, Vectorisation has quite some complexity (for considerable
51 flexibility, reduction in opcode proliferation and much more).
52 * Vectorisation typically includes much more comprehensive memory load
53 and store schemes (unit stride, constant-stride and indexed), which
54 in turn have ramifications: virtual memory misses (TLB cache misses)
55 and even multiple page-faults... all caused by a *single instruction*.
56 * By contrast, SIMD can use "standard" memory load/stores (32-bit aligned
57 to pages), and these load/stores have absolutely nothing to do with the
58 SIMD / ALU engine, no matter how wide the operand.
59
60 Overall it makes a huge amount of sense to have a means and method
61 of introducing instruction parallelism in a flexible way that provides
62 implementors with the option to choose exactly where they wish to offer
63 performance improvements and where they wish to optimise for power
64 and/or area (and if that can be offered even on a per-operation basis that
65 would provide even more flexibility).
66
67 Additionally it makes sense to *split out* the parallelism inherent within
68 each of P and V, and to see if each of P and V then, in *combination* with
69 a "best-of-both" parallelism extension, could be added on *on top* of
70 this proposal, to topologically provide the exact same functionality of
71 each of P and V. Each of P and V then can focus on providing the best
72 operations possible for their respective target areas, without being
73 hugely concerned about the actual parallelism.
74
75 Furthermore, an additional goal of this proposal is to reduce the number
76 of opcodes utilised by each of P and V as they currently stand, leveraging
77 existing RISC-V opcodes where possible, and also potentially allowing
78 P and V to make use of Compressed Instructions as a result.
79
80 # Analysis and discussion of Vector vs SIMD
81
82 There are six combined areas between the two proposals that help with
83 parallelism (increased performance, reduced power / area) without
84 over-burdening the ISA with a huge proliferation of
85 instructions:
86
87 * Fixed vs variable parallelism (fixed or variable "M" in SIMD)
88 * Implicit vs fixed instruction bit-width (integral to instruction or not)
89 * Implicit vs explicit type-conversion (compounded on bit-width)
90 * Implicit vs explicit inner loops.
91 * Single-instruction LOAD/STORE.
92 * Masks / tagging (selecting/preventing certain indexed elements from execution)
93
94 The pros and cons of each are discussed and analysed below.
95
96 ## Fixed vs variable parallelism length
97
98 In David Patterson and Andrew Waterman's analysis of SIMD and Vector
99 ISAs, the analysis comes out clearly in favour of (effectively) variable
100 length SIMD. As SIMD is a fixed width, typically 4, 8 or in extreme cases
101 16 or 32 simultaneous operations, the setup, teardown and corner-cases of SIMD
102 are extremely burdensome except for applications whose requirements
103 *specifically* match the *precise and exact* depth of the SIMD engine.
104
105 Thus, SIMD, no matter what width is chosen, is never going to be acceptable
106 for general-purpose computation, and in the context of developing a
107 general-purpose ISA, is never going to satisfy 100 percent of implementors.
108
109 To explain this further: for increased workloads over time, as the
110 performance requirements increase for new target markets, implementors
111 choose to extend the SIMD width (so as to again avoid mixing parallelism
112 into the instruction issue phases: the primary "simplicity" benefit of
113 SIMD in the first place), with the result that the entire opcode space
114 effectively doubles with each new SIMD width that's added to the ISA.
115
116 That basically leaves "variable-length vector" as the clear *general-purpose*
117 winner, at least in terms of greatly simplifying the instruction set,
118 reducing the number of instructions required for any given task, and thus
119 reducing power consumption for the same.
120
121 ## Implicit vs fixed instruction bit-width
122
123 SIMD again has a severe disadvantage here, over Vector: huge proliferation
124 of specialist instructions that target 8-bit, 16-bit, 32-bit, 64-bit, and
125 have to then have operations *for each and between each*. It gets very
126 messy, very quickly.
127
128 The V-Extension on the other hand proposes to set the bit-width of
129 future instructions on a per-register basis, such that subsequent instructions
130 involving that register are *implicitly* of that particular bit-width until
131 otherwise changed or reset.
132
133 This has some extremely useful properties, without being particularly
134 burdensome to implementations, given that instruction decode already has
135 to direct the operation to a correctly-sized width ALU engine, anyway.
136
137 Not least: in places where an ISA was previously constrained (due for
138 whatever reason, including limitations of the available operand spcace),
139 implicit bit-width allows the meaning of certain operations to be
140 type-overloaded *without* pollution or alteration of frozen and immutable
141 instructions, in a fully backwards-compatible fashion.
142
143 ## Implicit and explicit type-conversion
144
145 The Draft 2.3 V-extension proposal has (deprecated) polymorphism to help
146 deal with over-population of instructions, such that type-casting from
147 integer (and floating point) of various sizes is automatically inferred
148 due to "type tagging" that is set with a special instruction. A register
149 will be *specifically* marked as "16-bit Floating-Point" and, if added
150 to an operand that is specifically tagged as "32-bit Integer" an implicit
151 type-conversion will take place *without* requiring that type-conversion
152 to be explicitly done with its own separate instruction.
153
154 However, implicit type-conversion is not only quite burdensome to
155 implement (explosion of inferred type-to-type conversion) but also is
156 never really going to be complete. It gets even worse when bit-widths
157 also have to be taken into consideration. Each new type results in
158 an increased O(N^2) conversion space that, as anyone who has examined
159 python's source code (which has built-in polymorphic type-conversion),
160 knows that the task is more complex than it first seems.
161
162 Overall, type-conversion is generally best to leave to explicit
163 type-conversion instructions, or in definite specific use-cases left to
164 be part of an actual instruction (DSP or FP)
165
166 ## Zero-overhead loops vs explicit loops
167
168 The initial Draft P-SIMD Proposal by Chuanhua Chang of Andes Technology
169 contains an extremely interesting feature: zero-overhead loops. This
170 proposal would basically allow an inner loop of instructions to be
171 repeated indefinitely, a fixed number of times.
172
173 Its specific advantage over explicit loops is that the pipeline in a DSP
174 can potentially be kept completely full *even in an in-order single-issue
175 implementation*. Normally, it requires a superscalar architecture and
176 out-of-order execution capabilities to "pre-process" instructions in
177 order to keep ALU pipelines 100% occupied.
178
179 By bringing that capability in, this proposal could offer a way to increase
180 pipeline activity even in simpler implementations in the one key area
181 which really matters: the inner loop.
182
183 However when looking at much more comprehensive schemes
184 "A portable specification of zero-overhead loop control hardware
185 applied to embedded processors" (ZOLC), optimising only the single
186 inner loop seems inadequate, tending to suggest that ZOLC may be
187 better off being proposed as an entirely separate Extension.
188
189 ## Single-instruction LOAD/STORE
190
191 In traditional Vector Architectures there are instructions which
192 result in multiple register-memory transfer operations resulting
193 from a single instruction. They're complicated to implement in hardware,
194 yet the benefits are a huge consistent regularisation of memory accesses
195 that can be highly optimised with respect to both actual memory and any
196 L1, L2 or other caches. In Hwacha EECS-2015-263 it is explicitly made
197 clear the consequences of getting this architecturally wrong:
198 L2 cache-thrashing at the very least.
199
200 Complications arise when Virtual Memory is involved: TLB cache misses
201 need to be dealt with, as do page faults. Some of the tradeoffs are
202 discussed in <http://people.eecs.berkeley.edu/~krste/thesis.pdf>, Section
203 4.6, and an article by Jeff Bush when faced with some of these issues
204 is particularly enlightening
205 <https://jbush001.github.io/2015/11/03/lost-in-translation.html>
206
207 Interestingly, none of this complexity is faced in SIMD architectures...
208 but then they do not get the opportunity to optimise for highly-streamlined
209 memory accesses either.
210
211 With the "bang-per-buck" ratio being so high and the direct improvement
212 in L1 Instruction Cache usage, as well as the opportunity to optimise
213 L1 and L2 cache usage, the case for including Vector LOAD/STORE is
214 compelling.
215
216 ## Mask and Tagging (Predication)
217
218 Tagging (aka Masks aka Predication) is a pseudo-method of implementing
219 simplistic branching in a parallel fashion, by allowing execution on
220 elements of a vector to be switched on or off depending on the results
221 of prior operations in the same array position.
222
223 The reason for considering this is simple: by *definition* it
224 is not possible to perform individual parallel branches in a SIMD
225 (Single-Instruction, **Multiple**-Data) context. Branches (modifying
226 of the Program Counter) will result in *all* parallel data having
227 a different instruction executed on it: that's just the definition of
228 SIMD, and it is simply unavoidable.
229
230 So these are the ways in which conditional execution may be implemented:
231
232 * explicit compare and branch: BNE x, y -> offs would jump offs
233 instructions if x was not equal to y
234 * explicit store of tag condition: CMP x, y -> tagbit
235 * implicit (condition-code) ADD results in a carry, carry bit implicitly
236 (or sometimes explicitly) goes into a "tag" (mask) register
237
238 The first of these is a "normal" branch method, which is flat-out impossible
239 to parallelise without look-ahead and effectively rewriting instructions.
240 This would defeat the purpose of RISC.
241
242 The latter two are where parallelism becomes easy to do without complexity:
243 every operation is modified to be "conditionally executed" (in an explicit
244 way directly in the instruction format *or* implicitly).
245
246 RVV (Vector-Extension) proposes to have *explicit* storing of the compare
247 in a tag/mask register, and to *explicitly* have every vector operation
248 *require* that its operation be "predicated" on the bits within an
249 explicitly-named tag/mask register.
250
251 SIMD (P-Extension) has not yet published precise documentation on what its
252 schema is to be: there is however verbal indication at the time of writing
253 that:
254
255 > The "compare" instructions in the DSP/SIMD ISA proposed by Andes will
256 > be executed using the same compare ALU logic for the base ISA with some
257 > minor modifications to handle smaller data types. The function will not
258 > be duplicated.
259
260 This is an *implicit* form of predication as the base RV ISA does not have
261 condition-codes or predication. By adding a CSR it becomes possible
262 to also tag certain registers as "predicated if referenced as a destination".
263 Example:
264
265 // in future operations from now on, if r0 is the destination use r5 as
266 // the PREDICATION register
267 SET_IMPLICIT_CSRPREDICATE r0, r5
268 // store the compares in r5 as the PREDICATION register
269 CMPEQ8 r5, r1, r2
270 // r0 is used here. ah ha! that means it's predicated using r5!
271 ADD8 r0, r1, r3
272
273 With enough registers (and in RISC-V there are enough registers) some fairly
274 complex predication can be set up and yet still execute without significant
275 stalling, even in a simple non-superscalar architecture.
276
277 (For details on how Branch Instructions would be retro-fitted to indirectly
278 predicated equivalents, see Appendix)
279
280 ## Conclusions
281
282 In the above sections the five different ways where parallel instruction
283 execution has closely and loosely inter-related implications for the ISA and
284 for implementors, were outlined. The pluses and minuses came out as
285 follows:
286
287 * Fixed vs variable parallelism: <b>variable</b>
288 * Implicit (indirect) vs fixed (integral) instruction bit-width: <b>indirect</b>
289 * Implicit vs explicit type-conversion: <b>explicit</b>
290 * Implicit vs explicit inner loops: <b>implicit but best done separately</b>
291 * Single-instruction Vector LOAD/STORE: <b>Complex but highly beneficial</b>
292 * Tag or no-tag: <b>Complex but highly beneficial</b>
293
294 In particular:
295
296 * variable-length vectors came out on top because of the high setup, teardown
297 and corner-cases associated with the fixed width of SIMD.
298 * Implicit bit-width helps to extend the ISA to escape from
299 former limitations and restrictions (in a backwards-compatible fashion),
300 whilst also leaving implementors free to simmplify implementations
301 by using actual explicit internal parallelism.
302 * Implicit (zero-overhead) loops provide a means to keep pipelines
303 potentially 100% occupied in a single-issue in-order implementation
304 i.e. *without* requiring a super-scalar or out-of-order architecture,
305 but doing a proper, full job (ZOLC) is an entirely different matter.
306
307 Constructing a SIMD/Simple-Vector proposal based around four of these five
308 requirements would therefore seem to be a logical thing to do.
309
310 # Instructions
311
312 By being a topological remap of RVV concepts, the following RVV instructions
313 remain exactly the same: VMPOP, VMFIRST, VEXTRACT, VINSERT, VMERGE, VSELECT,
314 VSLIDE, VCLASS and VPOPC. Two instructions, VCLIP and VCLIPI, do not
315 have RV Standard equivalents, so are left out of Simple-V.
316 All other instructions from RVV are topologically re-mapped and retain
317 their complete functionality, intact.
318
319 ## Instruction Format
320
321 The instruction format for Simple-V does not actually have *any* explicit
322 compare operations, *any* arithmetic, floating point or *any*
323 memory instructions.
324 Instead it *overloads* pre-existing branch operations into predicated
325 variants, and implicitly overloads arithmetic operations and LOAD/STORE
326 depending on implicit CSR configurations for both vector length and
327 bitwidth. *This includes Compressed instructions* as well as any
328 future ones, *including* future Extensions.
329
330 * For analysis of RVV see [[v_comparative_analysis]] which begins to
331 outline topologically-equivalent mappings of instructions
332 * Also see Appendix "Retro-fitting Predication into branch-explicit ISA"
333 for format of Branch opcodes.
334
335 **TODO**: *analyse and decide whether the implicit nature of predication
336 as proposed is or is not a lot of hassle, and if explicit prefixes are
337 a better idea instead. Parallelism therefore effectively may end up
338 as always being 64-bit opcodes (32 for the prefix, 32 for the instruction)
339 with some opportunities for to use Compressed bringing it down to 48.
340 Also to consider is whether one or both of the last two remaining Compressed
341 instruction codes in Quadrant 1 could be used as a parallelism prefix,
342 bringing parallelised opcodes down to 32-bit (when combined with C)
343 and having the benefit of being explicit.*
344
345 ## Branch Instruction:
346
347 This is the overloaded table for Integer-base Branch operations. Opcode
348 (bits 6..0) is set in all cases to 1100011.
349
350 [[!table data="""
351 31 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 8 | 7 | 6 ... 0 |
352 imm[12|10:5]| rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
353 7 | 5 | 5 | 3 | 4 | 1 | 7 |
354 reserved | src2 | src1 | BPR | predicate rs3 || BRANCH |
355 reserved | src2 | src1 | 000 | predicate rs3 || BEQ |
356 reserved | src2 | src1 | 001 | predicate rs3 || BNE |
357 reserved | src2 | src1 | 010 | predicate rs3 || rsvd |
358 reserved | src2 | src1 | 011 | predicate rs3 || rsvd |
359 reserved | src2 | src1 | 100 | predicate rs3 || BLE |
360 reserved | src2 | src1 | 101 | predicate rs3 || BGE |
361 reserved | src2 | src1 | 110 | predicate rs3 || BLTU |
362 reserved | src2 | src1 | 111 | predicate rs3 || BGEU |
363 """]]
364
365 This is the overloaded table for Floating-point Predication operations.
366 Interestingly no change is needed to the instruction format because
367 FP Compare already stores a 1 or a zero in its "rd" integer register
368 target, i.e. it's not actually a Branch at all: it's a compare.
369 The target needs to simply change to be a predication bitfield.
370
371 As with
372 Standard RVF/D/Q, Opcode (bits 6..0) is set in all cases to 1010011.
373 Likewise Single-precision, fmt bits 26..25) is still set to 00.
374 Double-precision is still set to 01, whilst Quad-precision
375 appears not to have a definition in V2.3-Draft (but should be unaffected).
376
377 It is however noted that an entry "FNE" (the opposite of FEQ) is missing,
378 and whilst in ordinary branch code this is fine because the standard
379 RVF compare can always be followed up with an integer BEQ or a BNE (or
380 a compressed comparison to zero or non-zero), in predication terms that
381 becomes more of an impact as an explicit (scalar) instruction is needed
382 to invert the predicate. An additional encoding funct3=011 is therefore
383 proposed to cater for this.
384
385 [[!table data="""
386 31 .. 27| 26 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 7 | 6 ... 0 |
387 funct5 | fmt | rs2 | rs1 | funct3 | rd | opcode |
388 5 | 2 | 5 | 5 | 3 | 4 | 7 |
389 10100 | 00/01/11 | src2 | src1 | 010 | pred rs3 | FEQ |
390 10100 | 00/01/11 | src2 | src1 | *011* | pred rs3 | FNE |
391 10100 | 00/01/11 | src2 | src1 | 001 | pred rs3 | FLT |
392 10100 | 00/01/11 | src2 | src1 | 000 | pred rs3 | FLE |
393 """]]
394
395 Note (**TBD**): floating-point exceptions will need to be extended
396 to cater for multiple exceptions (and statuses of the same). The
397 usual approach is to have an array of status codes and bit-fields,
398 and one exception, rather than throw separate exceptions for each
399 Vector element.
400
401 In Hwacha EECS-2015-262 Section 6.7.2 the following pseudocode is given
402 for predicated compare operations of function "cmp":
403
404 for (int i=0; i<vl; ++i)
405 if ([!]preg[p][i])
406 preg[pd][i] = cmp(s1 ? vreg[rs1][i] : sreg[rs1],
407 s2 ? vreg[rs2][i] : sreg[rs2]);
408
409 With associated predication, vector-length adjustments and so on,
410 and temporarily ignoring bitwidth (which makes the comparisons more
411 complex), this becomes:
412
413 if I/F == INT: # integer type cmp
414 pred_enabled = int_pred_enabled # TODO: exception if not set!
415 preg = int_pred_reg[rd]
416 else:
417 pred_enabled = fp_pred_enabled # TODO: exception if not set!
418 preg = fp_pred_reg[rd]
419
420 s1 = CSRvectorlen[src1] > 1;
421 s2 = CSRvectorlen[src2] > 1;
422 for (int i=0; i<vl; ++i)
423 preg[rs3][i] = cmp(s1 ? reg[src1+i] : reg[src1],
424 s2 ? reg[src2+i] : reg[src2]);
425
426 Notes:
427
428 * Predicated SIMD comparisons would break src1 and src2 further down
429 into bitwidth-sized chunks (see Appendix "Bitwidth Virtual Register
430 Reordering") setting Vector-Length * (number of SIMD elements) bits
431 in Predicate Register rs3 as opposed to just Vector-Length bits.
432 * Predicated Branches do not actually have an adjustment to the Program
433 Counter, so all of bits 25 through 30 in every case are not needed.
434 * There are plenty of reserved opcodes for which bits 25 through 30 could
435 be put to good use if there is a suitable use-case.
436 * FEQ and FNE (and BEQ and BNE) are included in order to save one
437 instruction having to invert the resultant predicate bitfield.
438 FLT and FLE may be inverted to FGT and FGE if needed by swapping
439 src1 and src2 (likewise the integer counterparts).
440
441 ## Compressed Branch Instruction:
442
443 [[!table data="""
444 15..13 | 12...10 | 9..7 | 6..5 | 4..2 | 1..0 | name |
445 funct3 | imm | rs10 | imm | | op | |
446 3 | 3 | 3 | 2 | 3 | 2 | |
447 C.BPR | pred rs3 | src1 | I/F B | src2 | C1 | |
448 110 | pred rs3 | src1 | I/F 0 | src2 | C1 | P.EQ |
449 111 | pred rs3 | src1 | I/F 0 | src2 | C1 | P.NE |
450 110 | pred rs3 | src1 | I/F 1 | src2 | C1 | P.LT |
451 111 | pred rs3 | src1 | I/F 1 | src2 | C1 | P.LE |
452 """]]
453
454 Notes:
455
456 * Bits 5 13 14 and 15 make up the comparator type
457 * In both floating-point and integer cases there are four predication
458 comparators: EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting
459 src1 and src2).
460
461 ## LOAD / STORE Instructions
462
463 For full analysis of topological adaptation of RVV LOAD/STORE
464 see [[v_comparative_analysis]]. All three types (LD, LD.S and LD.X)
465 may be implicitly overloaded into the one base RV LOAD instruction.
466
467 Revised LOAD:
468
469 [[!table data="""
470 31 | 30 | 29 25 | 24 20 | 19 15 | 14 12 | 11 7 | 6 0 |
471 imm[11:0] |||| rs1 | funct3 | rd | opcode |
472 1 | 1 | 5 | 5 | 5 | 3 | 5 | 7 |
473 ? | s | rs2 | imm[4:0] | base | width | dest | LOAD |
474 """]]
475
476 The exact same corresponding adaptation is also carried out on the single,
477 double and quad precision floating-point LOAD-FP and STORE-FP operations,
478 which fit the exact same instruction format. Thus all three types
479 (unit, stride and indexed) may be fitted into FLW, FLD and FLQ,
480 as well as FSW, FSD and FSQ.
481
482 Notes:
483
484 * LOAD remains functionally (topologically) identical to RVV LOAD
485 (for both integer and floating-point variants).
486 * Predication CSR-marking register is not explicitly shown in instruction, it's
487 implicit based on the CSR predicate state for the rd (destination) register
488 * rs2, the source, may *also be marked as a vector*, which implicitly
489 is taken to indicate "Indexed Load" (LD.X)
490 * Bit 30 indicates "element stride" or "constant-stride" (LD or LD.S)
491 * Bit 31 is reserved (ideas under consideration: auto-increment)
492 * **TODO**: include CSR SIMD bitwidth in the pseudo-code below.
493 * **TODO**: clarify where width maps to elsize
494
495 Pseudo-code (excludes CSR SIMD bitwidth for simplicity):
496
497 if (unit-strided) stride = elsize;
498 else stride = areg[as2]; // constant-strided
499
500 pred_enabled = int_pred_enabled
501 preg = int_pred_reg[rd]
502
503 for (int i=0; i<vl; ++i)
504 if (preg_enabled[rd] && [!]preg[i])
505 for (int j=0; j<seglen+1; j++)
506 {
507 if CSRvectorised[rs2])
508 offs = vreg[rs2][i]
509 else
510 offs = i*(seglen+1)*stride;
511 vreg[rd+j][i] = mem[sreg[base] + offs + j*stride];
512 }
513
514 Taking CSR (SIMD) bitwidth into account involves using the vector
515 length and register encoding according to the "Bitwidth Virtual Register
516 Reordering" scheme shown in the Appendix (see function "regoffs").
517
518 A similar instruction exists for STORE, with identical topological
519 translation of all features. **TODO**
520
521 ## Compressed LOAD / STORE Instructions
522
523 Compressed LOAD and STORE are of the same format, where bits 2-4 are
524 a src register instead of dest:
525
526 [[!table data="""
527 15 13 | 12 10 | 9 7 | 6 5 | 4 2 | 1 0 |
528 funct3 | imm | rs10 | imm | rd0 | op |
529 3 | 3 | 3 | 2 | 3 | 2 |
530 C.LW | offset[5:3] | base | offset[2|6] | dest | C0 |
531 """]]
532
533 Unfortunately it is not possible to fit the full functionality
534 of vectorised LOAD / STORE into C.LD / C.ST: the "X" variants (Indexed)
535 require another operand (rs2) in addition to the operand width
536 (which is also missing), offset, base, and src/dest.
537
538 However a close approximation may be achieved by taking the top bit
539 of the offset in each of the five types of LD (and ST), reducing the
540 offset to 4 bits and utilising the 5th bit to indicate whether "stride"
541 is to be enabled. In this way it is at least possible to introduce
542 that functionality.
543
544 (**TODO**: *assess whether the loss of one bit from offset is worth having
545 "stride" capability.*)
546
547 We also assume (including for the "stride" variant) that the "width"
548 parameter, which is missing, is derived and implicit, just as it is
549 with the standard Compressed LOAD/STORE instructions. For C.LW, C.LD
550 and C.LQ, the width is implicitly 4, 8 and 16 respectively, whilst for
551 C.FLW and C.FLD the width is implicitly 4 and 8 respectively.
552
553 Interestingly we note that the Vectorised Simple-V variant of
554 LOAD/STORE (Compressed and otherwise), due to it effectively using the
555 standard register file(s), is the direct functional equivalent of
556 standard load-multiple and store-multiple instructions found in other
557 processors.
558
559 In Section 12.3 riscv-isa manual V2.3-draft it is noted the comments on
560 page 76, "For virtual memory systems some data accesses could be resident
561 in physical memory and some not". The interesting question then arises:
562 how does RVV deal with the exact same scenario?
563 Expired U.S. Patent 5895501 (Filing Date Sep 3 1996) describes a method
564 of detecting early page / segmentation faults and adjusting the TLB
565 in advance, accordingly: other strategies are explored in the Appendix
566 Section "Virtual Memory Page Faults".
567
568 # Note on implementation of parallelism
569
570 One extremely important aspect of this proposal is to respect and support
571 implementors desire to focus on power, area or performance. In that regard,
572 it is proposed that implementors be free to choose whether to implement
573 the Vector (or variable-width SIMD) parallelism as sequential operations
574 with a single ALU, fully parallel (if practical) with multiple ALUs, or
575 a hybrid combination of both.
576
577 In Broadcom's Videocore-IV, they chose hybrid, and called it "Virtual
578 Parallelism". They achieve a 16-way SIMD at an **instruction** level
579 by providing a combination of a 4-way parallel ALU *and* an externally
580 transparent loop that feeds 4 sequential sets of data into each of the
581 4 ALUs.
582
583 Also in the same core, it is worth noting that particularly uncommon
584 but essential operations (Reciprocal-Square-Root for example) are
585 *not* part of the 4-way parallel ALU but instead have a *single* ALU.
586 Under the proposed Vector (varible-width SIMD) implementors would
587 be free to do precisely that: i.e. free to choose *on a per operation
588 basis* whether and how much "Virtual Parallelism" to deploy.
589
590 It is absolutely critical to note that it is proposed that such choices MUST
591 be **entirely transparent** to the end-user and the compiler. Whilst
592 a Vector (varible-width SIM) may not precisely match the width of the
593 parallelism within the implementation, the end-user **should not care**
594 and in this way the performance benefits are gained but the ISA remains
595 straightforward. All that happens at the end of an instruction run is: some
596 parallel units (if there are any) would remain offline, completely
597 transparently to the ISA, the program, and the compiler.
598
599 The "SIMD considered harmful" trap of having huge complexity and extra
600 instructions to deal with corner-cases is thus avoided, and implementors
601 get to choose precisely where to focus and target the benefits of their
602 implementation efforts, without "extra baggage".
603
604 # CSRs <a name="csrs"></a>
605
606 There are a number of CSRs needed, which are used at the instruction
607 decode phase to re-interpret standard RV opcodes (a practice that has
608 precedent in the setting of MISA to enable / disable extensions).
609
610 * Integer Register N is Vector of length M: r(N) -> r(N..N+M-1)
611 * Integer Register N is of implicit bitwidth M (M=default,8,16,32,64)
612 * Floating-point Register N is Vector of length M: r(N) -> r(N..N+M-1)
613 * Floating-point Register N is of implicit bitwidth M (M=default,8,16,32,64)
614 * Integer Register N is a Predication Register (note: a key-value store)
615 * Vector Length CSR (VSETVL, VGETVL)
616
617 Notes:
618
619 * for the purposes of LOAD / STORE, Integer Registers which are
620 marked as a Vector will result in a Vector LOAD / STORE.
621 * Vector Lengths are *not* the same as vsetl but are an integral part
622 of vsetl.
623 * Actual vector length is *multipled* by how many blocks of length
624 "bitwidth" may fit into an XLEN-sized register file.
625 * Predication is a key-value store due to the implicit referencing,
626 as opposed to having the predicate register explicitly in the instruction.
627
628 ## Predication CSR
629
630 The Predication CSR is a key-value store indicating whether, if a given
631 destination register (integer or floating-point) is referred to in an
632 instruction, it is to be predicated. The first entry is whether predication
633 is enabled. The second entry is whether the register index refers to a
634 floating-point or an integer register. The third entry is the index
635 of that register which is to be predicated (if referred to). The fourth entry
636 is the integer register that is treated as a bitfield, indexable by the
637 vector element index.
638
639 | RegNo | 6 | 5 | (4..0) | (4..0) |
640 | ----- | - | - | ------- | ------- |
641 | r0 | pren0 | i/f | regidx | predidx |
642 | r1 | pren1 | i/f | regidx | predidx |
643 | .. | pren.. | i/f | regidx | predidx |
644 | r15 | pren15 | i/f | regidx | predidx |
645
646 The Predication CSR Table is a key-value store, so implementation-wise
647 it will be faster to turn the table around (maintain topologically
648 equivalent state):
649
650 fp_pred_enabled[32];
651 int_pred_enabled[32];
652 for (i = 0; i < 16; i++)
653 if CSRpred[i].pren:
654 idx = CSRpred[i].regidx
655 predidx = CSRpred[i].predidx
656 if CSRpred[i].type == 0: # integer
657 int_pred_enabled[idx] = 1
658 int_pred_reg[idx] = predidx
659 else:
660 fp_pred_enabled[idx] = 1
661 fp_pred_reg[idx] = predidx
662
663 So when an operation is to be predicated, it is the internal state that
664 is used. In Section 6.4.2 of Hwacha's Manual (EECS-2015-262) the following
665 pseudo-code for operations is given, where p is the explicit (direct)
666 reference to the predication register to be used:
667
668 for (int i=0; i<vl; ++i)
669 if ([!]preg[p][i])
670 (d ? vreg[rd][i] : sreg[rd]) =
671 iop(s1 ? vreg[rs1][i] : sreg[rs1],
672 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
673
674 This instead becomes an *indirect* reference using the *internal* state
675 table generated from the Predication CSR key-value store:
676
677 if type(iop) == INT:
678 pred_enabled = int_pred_enabled
679 preg = int_pred_reg[rd]
680 else:
681 pred_enabled = fp_pred_enabled
682 preg = fp_pred_reg[rd]
683
684 for (int i=0; i<vl; ++i)
685 if (preg_enabled[rd] && [!]preg[i])
686 (d ? vreg[rd][i] : sreg[rd]) =
687 iop(s1 ? vreg[rs1][i] : sreg[rs1],
688 s2 ? vreg[rs2][i] : sreg[rs2]); // for insts with 2 inputs
689
690 ## MAXVECTORDEPTH
691
692 MAXVECTORDEPTH is the same concept as MVL in RVV. However in Simple-V,
693 given that its primary (base, unextended) purpose is for 3D, Video and
694 other purposes (not requiring supercomputing capability), it makes sense
695 to limit MAXVECTORDEPTH to the regfile bitwidth (32 for RV32, 64 for RV64
696 and so on).
697
698 The reason for setting this limit is so that predication registers, when
699 marked as such, may fit into a single register as opposed to fanning out
700 over several registers. This keeps the implementation a little simpler.
701 Note that RVV on top of Simple-V may choose to over-ride this decision.
702
703 ## Vector-length CSRs
704
705 Vector lengths are interpreted as meaning "any instruction referring to
706 r(N) generates implicit identical instructions referring to registers
707 r(N+M-1) where M is the Vector Length". Vector Lengths may be set to
708 use up to 16 registers in the register file.
709
710 One separate CSR table is needed for each of the integer and floating-point
711 register files:
712
713 | RegNo | (3..0) |
714 | ----- | ------ |
715 | r0 | vlen0 |
716 | r1 | vlen1 |
717 | .. | vlen.. |
718 | r31 | vlen31 |
719
720 An array of 32 4-bit CSRs is needed (4 bits per register) to indicate
721 whether a register was, if referred to in any standard instructions,
722 implicitly to be treated as a vector. A vector length of 1 indicates
723 that it is to be treated as a scalar. Vector lengths of 0 are reserved.
724
725 Internally, implementations may choose to use the non-zero vector length
726 to set a bit-field per register, to be used in the instruction decode phase.
727 In this way any standard (current or future) operation involving
728 register operands may detect if the operation is to be vector-vector,
729 vector-scalar or scalar-scalar (standard) simply through a single
730 bit test.
731
732 Note that when using the "vsetl rs1, rs2" instruction (caveat: when the
733 bitwidth is specifically not set) it becomes:
734
735 CSRvlength = MIN(MIN(CSRvectorlen[rs1], MAXVECTORDEPTH), rs2)
736
737 This is in contrast to RVV:
738
739 CSRvlength = MIN(MIN(rs1, MAXVECTORDEPTH), rs2)
740
741 ## Element (SIMD) bitwidth CSRs
742
743 Element bitwidths may be specified with a per-register CSR, and indicate
744 how a register (integer or floating-point) is to be subdivided.
745
746 | RegNo | (2..0) |
747 | ----- | ------ |
748 | r0 | vew0 |
749 | r1 | vew1 |
750 | .. | vew.. |
751 | r31 | vew31 |
752
753 vew may be one of the following (giving a table "bytestable", used below):
754
755 | vew | bitwidth |
756 | --- | -------- |
757 | 000 | default |
758 | 001 | 8 |
759 | 010 | 16 |
760 | 011 | 32 |
761 | 100 | 64 |
762 | 101 | 128 |
763 | 110 | rsvd |
764 | 111 | rsvd |
765
766 Extending this table (with extra bits) is covered in the section
767 "Implementing RVV on top of Simple-V".
768
769 Note that when using the "vsetl rs1, rs2" instruction, taking bitwidth
770 into account, it becomes:
771
772 vew = CSRbitwidth[rs1]
773 if (vew == 0)
774 bytesperreg = (XLEN/8) # or FLEN as appropriate
775 else:
776 bytesperreg = bytestable[vew] # 1 2 4 8 16
777 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
778 vlen = CSRvectorlen[rs1] * simdmult
779 CSRvlength = MIN(MIN(vlen, MAXVECTORDEPTH), rs2)
780
781 The reason for multiplying the vector length by the number of SIMD elements
782 (in each individual register) is so that each SIMD element may optionally be
783 predicated.
784
785 An example of how to subdivide the register file when bitwidth != default
786 is given in the section "Bitwidth Virtual Register Reordering".
787
788 # Exceptions
789
790 > What does an ADD of two different-sized vectors do in simple-V?
791
792 * if the two source operands are not the same, throw an exception.
793 * if the destination operand is also a vector, and the source is longer
794 than the destination, throw an exception.
795
796 > And what about instructions like JALR? 
797 > What does jumping to a vector do?
798
799 * Throw an exception. Whether that actually results in spawning threads
800 as part of the trap-handling remains to be seen.
801
802 # Impementing V on top of Simple-V
803
804 With Simple-V converting the original RVV draft concept-for-concept
805 from explicit opcodes to implicit overloading of existing RV Standard
806 Extensions, certain features were (deliberately) excluded that need
807 to be added back in for RVV to reach its full potential. This is
808 made slightly complicated by the fact that RVV itself has two
809 levels: Base and reserved future functionality.
810
811 * Representation Encoding is entirely left out of Simple-V in favour of
812 implicitly taking the exact (explicit) meaning from RV Standard Extensions.
813 * VCLIP and VCLIPI do not have corresponding RV Standard Extension
814 opcodes (and are the only such operations).
815 * Extended Element bitwidths (1 through to 24576 bits) were left out
816 of Simple-V as, again, there is no corresponding RV Standard Extension
817 that covers anything even below 32-bit operands.
818 * Polymorphism was entirely left out of Simple-V due to the inherent
819 complexity of automatic type-conversion.
820 * Vector Register files were specifically left out of Simple-V in favour
821 of fitting on top of the integer and floating-point files. An
822 "RVV re-retro-fit" needs to be able to mark (implicitly marked)
823 registers as being actually in a separate *vector* register file.
824 * Fortunately in RVV (Draft 0.4, V2.3-Draft), the "base" vector
825 register file size is 5 bits (32 registers), whilst the "Extended"
826 variant of RVV specifies 8 bits (256 registers) and has yet to
827 be published.
828 * One big difference: Sections 17.12 and 17.17, there are only two possible
829 predication registers in RVV "Base". Through the "indirect" method,
830 Simple-V provides a key-value CSR table that allows (arbitrarily)
831 up to 16 (TBD) of either the floating-point or integer registers to
832 be marked as "predicated" (key), and if so, which integer register to
833 use as the predication mask (value).
834
835 **TODO**
836
837 # Implementing P (renamed to DSP) on top of Simple-V
838
839 * Implementors indicate chosen bitwidth support in Vector-bitwidth CSR
840 (caveat: anything not specified drops through to software-emulation / traps)
841 * TODO
842
843 # Appendix
844
845 ## V-Extension to Simple-V Comparative Analysis
846
847 This section has been moved to its own page [[v_comparative_analysis]]
848
849 ## P-Ext ISA
850
851 This section has been moved to its own page [[p_comparative_analysis]]
852
853 ## Comparison of "Traditional" SIMD, Alt-RVP, Simple-V and RVV Proposals <a name="parallelism_comparisons"></a>
854
855 This section compares the various parallelism proposals as they stand,
856 including traditional SIMD, in terms of features, ease of implementation,
857 complexity, flexibility, and die area.
858
859 ### [[alt_rvp]]
860
861 Primary benefit of Alt-RVP is the simplicity with which parallelism
862 may be introduced (effective multiplication of regfiles and associated ALUs).
863
864 * plus: the simplicity of the lanes (combined with the regularity of
865 allocating identical opcodes multiple independent registers) meaning
866 that SRAM or 2R1W can be used for entire regfile (potentially).
867 * minus: a more complex instruction set where the parallelism is much
868 more explicitly directly specified in the instruction and
869 * minus: if you *don't* have an explicit instruction (opcode) and you
870 need one, the only place it can be added is... in the vector unit and
871 * minus: opcode functions (and associated ALUs) duplicated in Alt-RVP are
872 not useable or accessible in other Extensions.
873 * plus-and-minus: Lanes may be utilised for high-speed context-switching
874 but with the down-side that they're an all-or-nothing part of the Extension.
875 No Alt-RVP: no fast register-bank switching.
876 * plus: Lane-switching would mean that complex operations not suited to
877 parallelisation can be carried out, followed by further parallel Lane-based
878 work, without moving register contents down to memory (and back)
879 * minus: Access to registers across multiple lanes is challenging. "Solution"
880 is to drop data into memory and immediately back in again (like MMX).
881
882 ### Simple-V
883
884 Primary benefit of Simple-V is the OO abstraction of parallel principles
885 from actual (internal) parallel hardware. It's an API in effect that's
886 designed to be slotted in to an existing implementation (just after
887 instruction decode) with minimum disruption and effort.
888
889 * minus: the complexity of having to use register renames, OoO, VLIW,
890 register file cacheing, all of which has been done before but is a
891 pain
892 * plus: transparent re-use of existing opcodes as-is just indirectly
893 saying "this register's now a vector" which
894 * plus: means that future instructions also get to be inherently
895 parallelised because there's no "separate vector opcodes"
896 * plus: Compressed instructions may also be (indirectly) parallelised
897 * minus: the indirect nature of Simple-V means that setup (setting
898 a CSR register to indicate vector length, a separate one to indicate
899 that it is a predicate register and so on) means a little more setup
900 time than Alt-RVP or RVV's "direct and within the (longer) instruction"
901 approach.
902 * plus: shared register file meaning that, like Alt-RVP, complex
903 operations not suited to parallelisation may be carried out interleaved
904 between parallelised instructions *without* requiring data to be dropped
905 down to memory and back (into a separate vectorised register engine).
906 * plus-and-maybe-minus: re-use of integer and floating-point 32-wide register
907 files means that huge parallel workloads would use up considerable
908 chunks of the register file. However in the case of RV64 and 32-bit
909 operations, that effectively means 64 slots are available for parallel
910 operations.
911 * plus: inherent parallelism (actual parallel ALUs) doesn't actually need to
912 be added, yet the instruction opcodes remain unchanged (and still appear
913 to be parallel). consistent "API" regardless of actual internal parallelism:
914 even an in-order single-issue implementation with a single ALU would still
915 appear to have parallel vectoristion.
916 * hard-to-judge: if actual inherent underlying ALU parallelism is added it's
917 hard to say if there would be pluses or minuses (on die area). At worse it
918 would be "no worse" than existing register renaming, OoO, VLIW and register
919 file cacheing schemes.
920
921 ### RVV (as it stands, Draft 0.4 Section 17, RISC-V ISA V2.3-Draft)
922
923 RVV is extremely well-designed and has some amazing features, including
924 2D reorganisation of memory through LOAD/STORE "strides".
925
926 * plus: regular predictable workload means that implementations may
927 streamline effects on L1/L2 Cache.
928 * plus: regular and clear parallel workload also means that lanes
929 (similar to Alt-RVP) may be used as an implementation detail,
930 using either SRAM or 2R1W registers.
931 * plus: separate engine with no impact on the rest of an implementation
932 * minus: separate *complex* engine with no RTL (ALUs, Pipeline stages) reuse
933 really feasible.
934 * minus: no ISA abstraction or re-use either: additions to other Extensions
935 do not gain parallelism, resulting in prolific duplication of functionality
936 inside RVV *and out*.
937 * minus: when operations require a different approach (scalar operations
938 using the standard integer or FP regfile) an entire vector must be
939 transferred out to memory, into standard regfiles, then back to memory,
940 then back to the vector unit, this to occur potentially multiple times.
941 * minus: will never fit into Compressed instruction space (as-is. May
942 be able to do so if "indirect" features of Simple-V are partially adopted).
943 * plus-and-slight-minus: extended variants may address up to 256
944 vectorised registers (requires 48/64-bit opcodes to do it).
945 * minus-and-partial-plus: separate engine plus complexity increases
946 implementation time and die area, meaning that adoption is likely only
947 to be in high-performance specialist supercomputing (where it will
948 be absolutely superb).
949
950 ### Traditional SIMD
951
952 The only really good things about SIMD are how easy it is to implement and
953 get good performance. Unfortunately that makes it quite seductive...
954
955 * plus: really straightforward, ALU basically does several packed operations
956 at once. Parallelism is inherent at the ALU, making the addition of
957 SIMD-style parallelism an easy decision that has zero significant impact
958 on the rest of any given architectural design and layout.
959 * plus (continuation): SIMD in simple in-order single-issue designs can
960 therefore result in superb throughput, easily achieved even with a very
961 simple execution model.
962 * minus: ridiculously complex setup and corner-cases that disproportionately
963 increase instruction count on what would otherwise be a "simple loop",
964 should the number of elements in an array not happen to exactly match
965 the SIMD group width.
966 * minus: getting data usefully out of registers (if separate regfiles
967 are used) means outputting to memory and back.
968 * minus: quite a lot of supplementary instructions for bit-level manipulation
969 are needed in order to efficiently extract (or prepare) SIMD operands.
970 * minus: MASSIVE proliferation of ISA both in terms of opcodes in one
971 dimension and parallelism (width): an at least O(N^2) and quite probably
972 O(N^3) ISA proliferation that often results in several thousand
973 separate instructions. all requiring separate and distinct corner-case
974 algorithms!
975 * minus: EVEN BIGGER proliferation of SIMD ISA if the functionality of
976 8, 16, 32 or 64-bit reordering is built-in to the SIMD instruction.
977 For example: add (high|low) 16-bits of r1 to (low|high) of r2 requires
978 four separate and distinct instructions: one for (r1:low r2:high),
979 one for (r1:high r2:low), one for (r1:high r2:high) and one for
980 (r1:low r2:low) *per function*.
981 * minus: EVEN BIGGER proliferation of SIMD ISA if there is a mismatch
982 between operand and result bit-widths. In combination with high/low
983 proliferation the situation is made even worse.
984 * minor-saving-grace: some implementations *may* have predication masks
985 that allow control over individual elements within the SIMD block.
986
987 ## Comparison *to* Traditional SIMD: Alt-RVP, Simple-V and RVV Proposals <a name="simd_comparison"></a>
988
989 This section compares the various parallelism proposals as they stand,
990 *against* traditional SIMD as opposed to *alongside* SIMD. In other words,
991 the question is asked "How can each of the proposals effectively implement
992 (or replace) SIMD, and how effective would they be"?
993
994 ### [[alt_rvp]]
995
996 * Alt-RVP would not actually replace SIMD but would augment it: just as with
997 a SIMD architecture where the ALU becomes responsible for the parallelism,
998 Alt-RVP ALUs would likewise be so responsible... with *additional*
999 (lane-based) parallelism on top.
1000 * Thus at least some of the downsides of SIMD ISA O(N^3) proliferation by
1001 at least one dimension are avoided (architectural upgrades introducing
1002 128-bit then 256-bit then 512-bit variants of the exact same 64-bit
1003 SIMD block)
1004 * Thus, unfortunately, Alt-RVP would suffer the same inherent proliferation
1005 of instructions as SIMD, albeit not quite as badly (due to Lanes).
1006 * In the same discussion for Alt-RVP, an additional proposal was made to
1007 be able to subdivide the bits of each register lane (columns) down into
1008 arbitrary bit-lengths (RGB 565 for example).
1009 * A recommendation was given instead to make the subdivisions down to 32-bit,
1010 16-bit or even 8-bit, effectively dividing the registerfile into
1011 Lane0(H), Lane0(L), Lane1(H) ... LaneN(L) or further. If inter-lane
1012 "swapping" instructions were then introduced, some of the disadvantages
1013 of SIMD could be mitigated.
1014
1015 ### RVV
1016
1017 * RVV is designed to replace SIMD with a better paradigm: arbitrary-length
1018 parallelism.
1019 * However whilst SIMD is usually designed for single-issue in-order simple
1020 DSPs with a focus on Multimedia (Audio, Video and Image processing),
1021 RVV's primary focus appears to be on Supercomputing: optimisation of
1022 mathematical operations that fit into the OpenCL space.
1023 * Adding functions (operations) that would normally fit (in parallel)
1024 into a SIMD instruction requires an equivalent to be added to the
1025 RVV Extension, if one does not exist. Given the specialist nature of
1026 some SIMD instructions (8-bit or 16-bit saturated or halving add),
1027 this possibility seems extremely unlikely to occur, even if the
1028 implementation overhead of RVV were acceptable (compared to
1029 normal SIMD/DSP-style single-issue in-order simplicity).
1030
1031 ### Simple-V
1032
1033 * Simple-V borrows hugely from RVV as it is intended to be easy to
1034 topologically transplant every single instruction from RVV (as
1035 designed) into Simple-V equivalents, with *zero loss of functionality
1036 or capability*.
1037 * With the "parallelism" abstracted out, a hypothetical SIMD-less "DSP"
1038 Extension which contained the basic primitives (non-parallelised
1039 8, 16 or 32-bit SIMD operations) inherently *become* parallel,
1040 automatically.
1041 * Additionally, standard operations (ADD, MUL) that would normally have
1042 to have special SIMD-parallel opcodes added need no longer have *any*
1043 of the length-dependent variants (2of 32-bit ADDs in a 64-bit register,
1044 4of 32-bit ADDs in a 128-bit register) because Simple-V takes the
1045 *standard* RV opcodes (present and future) and automatically parallelises
1046 them.
1047 * By inheriting the RVV feature of arbitrary vector-length, then just as
1048 with RVV the corner-cases and ISA proliferation of SIMD is avoided.
1049 * Whilst not entirely finalised, registers are expected to be
1050 capable of being subdivided down to an implementor-chosen bitwidth
1051 in the underlying hardware (r1 becomes r1[31..24] r1[23..16] r1[15..8]
1052 and r1[7..0], or just r1[31..16] r1[15..0]) where implementors can
1053 choose to have separate independent 8-bit ALUs or dual-SIMD 16-bit
1054 ALUs that perform twin 8-bit operations as they see fit, or anything
1055 else including no subdivisions at all.
1056 * Even though implementors have that choice even to have full 64-bit
1057 (with RV64) SIMD, they *must* provide predication that transparently
1058 switches off appropriate units on the last loop, thus neatly fitting
1059 underlying SIMD ALU implementations *into* the arbitrary vector-length
1060 RVV paradigm, keeping the uniform consistent API that is a key strategic
1061 feature of Simple-V.
1062 * With Simple-V fitting into the standard register files, certain classes
1063 of SIMD operations such as High/Low arithmetic (r1[31..16] + r2[15..0])
1064 can be done by applying *Parallelised* Bit-manipulation operations
1065 followed by parallelised *straight* versions of element-to-element
1066 arithmetic operations, even if the bit-manipulation operations require
1067 changing the bitwidth of the "vectors" to do so. Predication can
1068 be utilised to skip high words (or low words) in source or destination.
1069 * In essence, the key downside of SIMD - massive duplication of
1070 identical functions over time as an architecture evolves from 32-bit
1071 wide SIMD all the way up to 512-bit, is avoided with Simple-V, through
1072 vector-style parallelism being dropped on top of 8-bit or 16-bit
1073 operations, all the while keeping a consistent ISA-level "API" irrespective
1074 of implementor design choices (or indeed actual implementations).
1075
1076 ### Example Instruction translation: <a name="example_translation"></a>
1077
1078 Instructions "ADD r2 r4 r4" would result in three instructions being
1079 generated and placed into the FIFO:
1080
1081 * ADD r2 r4 r4
1082 * ADD r2 r5 r5
1083 * ADD r2 r6 r6
1084
1085 ## Example of vector / vector, vector / scalar, scalar / scalar => vector add
1086
1087 register CSRvectorlen[XLEN][4]; # not quite decided yet about this one...
1088 register CSRpredicate[XLEN][4]; # 2^4 is max vector length
1089 register CSRreg_is_vectorised[XLEN]; # just for fun support scalars as well
1090 register x[32][XLEN];
1091
1092 function op_add(rd, rs1, rs2, predr)
1093 {
1094    /* note that this is ADD, not PADD */
1095    int i, id, irs1, irs2;
1096    # checks CSRvectorlen[rd] == CSRvectorlen[rs] etc. ignored
1097    # also destination makes no sense as a scalar but what the hell...
1098    for (i = 0, id=0, irs1=0, irs2=0; i<CSRvectorlen[rd]; i++)
1099       if (CSRpredicate[predr][i]) # i *think* this is right...
1100          x[rd+id] <= x[rs1+irs1] + x[rs2+irs2];
1101       # now increment the idxs
1102       if (CSRreg_is_vectorised[rd]) # bitfield check rd, scalar/vector?
1103          id += 1;
1104       if (CSRreg_is_vectorised[rs1]) # bitfield check rs1, scalar/vector?
1105          irs1 += 1;
1106       if (CSRreg_is_vectorised[rs2]) # bitfield check rs2, scalar/vector?
1107          irs2 += 1;
1108 }
1109
1110 ## Retro-fitting Predication into branch-explicit ISA <a name="predication_retrofit"></a>
1111
1112 One of the goals of this parallelism proposal is to avoid instruction
1113 duplication. However, with the base ISA having been designed explictly
1114 to *avoid* condition-codes entirely, shoe-horning predication into it
1115 bcomes quite challenging.
1116
1117 However what if all branch instructions, if referencing a vectorised
1118 register, were instead given *completely new analogous meanings* that
1119 resulted in a parallel bit-wise predication register being set? This
1120 would have to be done for both C.BEQZ and C.BNEZ, as well as BEQ, BNE,
1121 BLT and BGE.
1122
1123 We might imagine that FEQ, FLT and FLT would also need to be converted,
1124 however these are effectively *already* in the precise form needed and
1125 do not need to be converted *at all*! The difference is that FEQ, FLT
1126 and FLE *specifically* write a 1 to an integer register if the condition
1127 holds, and 0 if not. All that needs to be done here is to say, "if
1128 the integer register is tagged with a bit that says it is a predication
1129 register, the **bit** in the integer register is set based on the
1130 current vector index" instead.
1131
1132 There is, in the standard Conditional Branch instruction, more than
1133 adequate space to interpret it in a similar fashion:
1134
1135 [[!table data="""
1136 31 |30 ..... 25 |24 ... 20 | 19 ... 15 | 14 ...... 12 | 11 ....... 8 | 7 | 6 ....... 0 |
1137 imm[12] | imm[10:5] | rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
1138 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
1139 offset[12,10:5] || src2 | src1 | BEQ | offset[11,4:1] || BRANCH |
1140 """]]
1141
1142 This would become:
1143
1144 [[!table data="""
1145 31 | 30 .. 25 |24 ... 20 | 19 15 | 14 12 | 11 .. 8 | 7 | 6 ... 0 |
1146 imm[12] | imm[10:5]| rs2 | rs1 | funct3 | imm[4:1] | imm[11] | opcode |
1147 1 | 6 | 5 | 5 | 3 | 4 | 1 | 7 |
1148 reserved || src2 | src1 | BEQ | predicate rs3 || BRANCH |
1149 """]]
1150
1151 Similarly the C.BEQZ and C.BNEZ instruction format may be retro-fitted,
1152 with the interesting side-effect that there is space within what is presently
1153 the "immediate offset" field to reinterpret that to add in not only a bit
1154 field to distinguish between floating-point compare and integer compare,
1155 not only to add in a second source register, but also use some of the bits as
1156 a predication target as well.
1157
1158 [[!table data="""
1159 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 ................. 2 | 1 .. 0 |
1160 funct3 | imm | rs10 | imm | op |
1161 3 | 3 | 3 | 5 | 2 |
1162 C.BEQZ | offset[8,4:3] | src | offset[7:6,2:1,5] | C1 |
1163 """]]
1164
1165 Now uses the CS format:
1166
1167 [[!table data="""
1168 15 ...... 13 | 12 ........... 10 | 9..... 7 | 6 .. 5 | 4......... 2 | 1 .. 0 |
1169 funct3 | imm | rs10 | imm | | op |
1170 3 | 3 | 3 | 2 | 3 | 2 |
1171 C.BEQZ | predicate rs3 | src1 | I/F B | src2 | C1 |
1172 """]]
1173
1174 Bit 6 would be decoded as "operation refers to Integer or Float" including
1175 interpreting src1 and src2 accordingly as outlined in Table 12.2 of the
1176 "C" Standard, version 2.0,
1177 whilst Bit 5 would allow the operation to be extended, in combination with
1178 funct3 = 110 or 111: a combination of four distinct (predicated) comparison
1179 operators. In both floating-point and integer cases those could be
1180 EQ/NEQ/LT/LE (with GT and GE being synthesised by inverting src1 and src2).
1181
1182 ## Register reordering <a name="register_reordering"></a>
1183
1184 ### Register File
1185
1186 | Reg Num | Bits |
1187 | ------- | ---- |
1188 | r0 | (32..0) |
1189 | r1 | (32..0) |
1190 | r2 | (32..0) |
1191 | r3 | (32..0) |
1192 | r4 | (32..0) |
1193 | r5 | (32..0) |
1194 | r6 | (32..0) |
1195 | r7 | (32..0) |
1196 | .. | (32..0) |
1197 | r31| (32..0) |
1198
1199 ### Vectorised CSR
1200
1201 May not be an actual CSR: may be generated from Vector Length CSR:
1202 single-bit is less burdensome on instruction decode phase.
1203
1204 | 7 | 6 | 5 | 4 | 3 | 2 | 1 | 0 |
1205 | - | - | - | - | - | - | - | - |
1206 | 0 | 0 | 0 | 1 | 0 | 0 | 0 | 1 |
1207
1208 ### Vector Length CSR
1209
1210 | Reg Num | (3..0) |
1211 | ------- | ---- |
1212 | r0 | 2 |
1213 | r1 | 0 |
1214 | r2 | 1 |
1215 | r3 | 1 |
1216 | r4 | 3 |
1217 | r5 | 0 |
1218 | r6 | 0 |
1219 | r7 | 1 |
1220
1221 ### Virtual Register Reordering
1222
1223 This example assumes the above Vector Length CSR table
1224
1225 | Reg Num | Bits (0) | Bits (1) | Bits (2) |
1226 | ------- | -------- | -------- | -------- |
1227 | r0 | (32..0) | (32..0) |
1228 | r2 | (32..0) |
1229 | r3 | (32..0) |
1230 | r4 | (32..0) | (32..0) | (32..0) |
1231 | r7 | (32..0) |
1232
1233 ### Bitwidth Virtual Register Reordering
1234
1235 This example goes a little further and illustrates the effect that a
1236 bitwidth CSR has been set on a register. Preconditions:
1237
1238 * RV32 assumed
1239 * CSRintbitwidth[2] = 010 # integer r2 is 16-bit
1240 * CSRintvlength[2] = 3 # integer r2 is a vector of length 3
1241 * vsetl rs1, 5 # set the vector length to 5
1242
1243 This is interpreted as follows:
1244
1245 * Given that the context is RV32, ELEN=32.
1246 * With ELEN=32 and bitwidth=16, the number of SIMD elements is 2
1247 * Therefore the actual vector length is up to *six* elements
1248 * However vsetl sets a length 5 therefore the last "element" is skipped
1249
1250 So when using an operation that uses r2 as a source (or destination)
1251 the operation is carried out as follows:
1252
1253 * 16-bit operation on r2(15..0) - vector element index 0
1254 * 16-bit operation on r2(31..16) - vector element index 1
1255 * 16-bit operation on r3(15..0) - vector element index 2
1256 * 16-bit operation on r3(31..16) - vector element index 3
1257 * 16-bit operation on r4(15..0) - vector element index 4
1258 * 16-bit operation on r4(31..16) **NOT** carried out due to length being 5
1259
1260 Predication has been left out of the above example for simplicity, however
1261 predication is ANDed with the latter stages (vsetl not equal to maximum
1262 capacity).
1263
1264 Note also that it is entirely an implementor's choice as to whether to have
1265 actual separate ALUs down to the minimum bitwidth, or whether to have something
1266 more akin to traditional SIMD (at any level of subdivision: 8-bit SIMD
1267 operations carried out 32-bits at a time is perfectly acceptable, as is
1268 8-bit SIMD operations carried out 16-bits at a time requiring two ALUs).
1269 Regardless of the internal parallelism choice, *predication must
1270 still be respected*, making Simple-V in effect the "consistent public API".
1271
1272 vew may be one of the following (giving a table "bytestable", used below):
1273
1274 | vew | bitwidth |
1275 | --- | -------- |
1276 | 000 | default |
1277 | 001 | 8 |
1278 | 010 | 16 |
1279 | 011 | 32 |
1280 | 100 | 64 |
1281 | 101 | 128 |
1282 | 110 | rsvd |
1283 | 111 | rsvd |
1284
1285 Pseudocode for vector length taking CSR SIMD-bitwidth into account:
1286
1287 vew = CSRbitwidth[rs1]
1288 if (vew == 0)
1289 bytesperreg = (XLEN/8) # or FLEN as appropriate
1290 else:
1291 bytesperreg = bytestable[vew] # 1 2 4 8 16
1292 simdmult = (XLEN/8) / bytesperreg # or FLEN as appropriate
1293 vlen = CSRvectorlen[rs1] * simdmult
1294
1295 To index an element in a register rnum where the vector element index is i:
1296
1297 function regoffs(rnum, i):
1298 regidx = floor(i / simdmult) # integer-div rounded down
1299 byteidx = i % simdmult # integer-remainder
1300 return rnum + regidx, # actual real register
1301 byteidx * 8, # low
1302 byteidx * 8 + (vew-1), # high
1303
1304 ### Insights
1305
1306 SIMD register file splitting still to consider. For RV64, benefits of doubling
1307 (quadrupling in the case of Half-Precision IEEE754 FP) the apparent
1308 size of the floating point register file to 64 (128 in the case of HP)
1309 seem pretty clear and worth the complexity.
1310
1311 64 virtual 32-bit F.P. registers and given that 32-bit FP operations are
1312 done on 64-bit registers it's not so conceptually difficult.  May even
1313 be achieved by *actually* splitting the regfile into 64 virtual 32-bit
1314 registers such that a 64-bit FP scalar operation is dropped into (r0.H
1315 r0.L) tuples.  Implementation therefore hidden through register renaming.
1316
1317 Implementations intending to introduce VLIW, OoO and parallelism
1318 (even without Simple-V) would then find that the instructions are
1319 generated quicker (or in a more compact fashion that is less heavy
1320 on caches). Interestingly we observe then that Simple-V is about
1321 "consolidation of instruction generation", where actual parallelism
1322 of underlying hardware is an implementor-choice that could just as
1323 equally be applied *without* Simple-V even being implemented.
1324
1325 ## Analysis of CSR decoding on latency <a name="csr_decoding_analysis"></a>
1326
1327 It could indeed have been logically deduced (or expected), that there
1328 would be additional decode latency in this proposal, because if
1329 overloading the opcodes to have different meanings, there is guaranteed
1330 to be some state, some-where, directly related to registers.
1331
1332 There are several cases:
1333
1334 * All operands vector-length=1 (scalars), all operands
1335 packed-bitwidth="default": instructions are passed through direct as if
1336 Simple-V did not exist.  Simple-V is, in effect, completely disabled.
1337 * At least one operand vector-length > 1, all operands
1338 packed-bitwidth="default": any parallel vector ALUs placed on "alert",
1339 virtual parallelism looping may be activated.
1340 * All operands vector-length=1 (scalars), at least one
1341 operand packed-bitwidth != default: degenerate case of SIMD,
1342 implementation-specific complexity here (packed decode before ALUs or
1343 *IN* ALUs)
1344 * At least one operand vector-length > 1, at least one operand
1345 packed-bitwidth != default: parallel vector ALUs (if any)
1346 placed on "alert", virtual parallelsim looping may be activated,
1347 implementation-specific SIMD complexity kicks in (packed decode before
1348 ALUs or *IN* ALUs).
1349
1350 Bear in mind that the proposal includes that the decision whether
1351 to parallelise in hardware or whether to virtual-parallelise (to
1352 dramatically simplify compilers and also not to run into the SIMD
1353 instruction proliferation nightmare) *or* a transprent combination
1354 of both, be done on a *per-operand basis*, so that implementors can
1355 specifically choose to create an application-optimised implementation
1356 that they believe (or know) will sell extremely well, without having
1357 "Extra Standards-Mandated Baggage" that would otherwise blow their area
1358 or power budget completely out the window.
1359
1360 Additionally, two possible CSR schemes have been proposed, in order to
1361 greatly reduce CSR space:
1362
1363 * per-register CSRs (vector-length and packed-bitwidth)
1364 * a smaller number of CSRs with the same information but with an *INDEX*
1365 specifying WHICH register in one of three regfiles (vector, fp, int)
1366 the length and bitwidth applies to.
1367
1368 (See "CSR vector-length and CSR SIMD packed-bitwidth" section for details)
1369
1370 In addition, LOAD/STORE has its own associated proposed CSRs that
1371 mirror the STRIDE (but not yet STRIDE-SEGMENT?) functionality of
1372 V (and Hwacha).
1373
1374 Also bear in mind that, for reasons of simplicity for implementors,
1375 I was coming round to the idea of permitting implementors to choose
1376 exactly which bitwidths they would like to support in hardware and which
1377 to allow to fall through to software-trap emulation.
1378
1379 So the question boils down to:
1380
1381 * whether either (or both) of those two CSR schemes have significant
1382 latency that could even potentially require an extra pipeline decode stage
1383 * whether there are implementations that can be thought of which do *not*
1384 introduce significant latency
1385 * whether it is possible to explicitly (through quite simply
1386 disabling Simple-V-Ext) or implicitly (detect the case all-vlens=1,
1387 all-simd-bitwidths=default) switch OFF any decoding, perhaps even to
1388 the extreme of skipping an entire pipeline stage (if one is needed)
1389 * whether packed bitwidth and associated regfile splitting is so complex
1390 that it should definitely, definitely be made mandatory that implementors
1391 move regfile splitting into the ALU, and what are the implications of that
1392 * whether even if that *is* made mandatory, is software-trapped
1393 "unsupported bitwidths" still desirable, on the basis that SIMD is such
1394 a complete nightmare that *even* having a software implementation is
1395 better, making Simple-V have more in common with a software API than
1396 anything else.
1397
1398 Whilst the above may seem to be severe minuses, there are some strong
1399 pluses:
1400
1401 * Significant reduction of V's opcode space: over 85%.
1402 * Smaller reduction of P's opcode space: around 10%.
1403 * The potential to use Compressed instructions in both Vector and SIMD
1404 due to the overloading of register meaning (implicit vectorisation,
1405 implicit packing)
1406 * Not only present but also future extensions automatically gain parallelism.
1407 * Already mentioned but worth emphasising: the simplification to compiler
1408 writers and assembly-level writers of having the same consistent ISA
1409 regardless of whether the internal level of parallelism (number of
1410 parallel ALUs) is only equal to one ("virtual" parallelism), or is
1411 greater than one, should not be underestimated.
1412
1413 ## Reducing Register Bank porting
1414
1415 This looks quite reasonable.
1416 <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1417
1418 The main details are outlined on page 4.  They propose a 2-level register
1419 cache hierarchy, note that registers are typically only read once, that
1420 you never write back from upper to lower cache level but always go in a
1421 cycle lower -> upper -> ALU -> lower, and at the top of page 5 propose
1422 a scheme where you look ahead by only 2 instructions to determine which
1423 registers to bring into the cache.
1424
1425 The nice thing about a vector architecture is that you *know* that
1426 *even more* registers are going to be pulled in: Hwacha uses this fact
1427 to optimise L1/L2 cache-line usage (avoid thrashing), strangely enough
1428 by *introducing* deliberate latency into the execution phase.
1429
1430 ## Overflow registers in combination with predication
1431
1432 **TODO**: propose overflow registers be actually one of the integer regs
1433 (flowing to multiple regs).
1434
1435 **TODO**: propose "mask" (predication) registers likewise. combination with
1436 standard RV instructions and overflow registers extremely powerful, see
1437 Aspex ASP.
1438
1439 When integer overflow is stored in an easily-accessible bit (or another
1440 register), parallelisation turns this into a group of bits which can
1441 potentially be interacted with in predication, in interesting and powerful
1442 ways. For example, by taking the integer-overflow result as a predication
1443 field and shifting it by one, a predicated vectorised "add one" can emulate
1444 "carry" on arbitrary (unlimited) length addition.
1445
1446 However despite RVV having made room for floating-point exceptions, neither
1447 RVV nor base RV have taken integer-overflow (carry) into account, which
1448 makes proposing it quite challenging given that the relevant (Base) RV
1449 sections are frozen. Consequently it makes sense to forgo this feature.
1450
1451 ## Virtual Memory page-faults
1452
1453 > I was going through the C.LOAD / C.STORE section 12.3 of V2.3-Draft
1454 > riscv-isa-manual in order to work out how to re-map RVV onto the standard
1455 > ISA, and came across an interesting comments at the bottom of pages 75
1456 > and 76:
1457
1458 > " A common mechanism used in other ISAs to further reduce save/restore
1459 > code size is load- multiple and store-multiple instructions. "
1460
1461 > Fascinatingly, due to Simple-V proposing to use the *standard* register
1462 > file, both C.LOAD / C.STORE *and* LOAD / STORE would in effect be exactly
1463 > that: load-multiple and store-multiple instructions. Which brings us
1464 > on to this comment:
1465
1466 > "For virtual memory systems, some data accesses could be resident in
1467 > physical memory and
1468 > some could not, which requires a new restart mechanism for partially
1469 > executed instructions."
1470
1471 > Which then of course brings us to the interesting question: how does RVV
1472 > cope with the scenario when, particularly with LD.X (Indexed / indirect
1473 > loads), part-way through the loading a page fault occurs?
1474
1475 > Has this been noted or discussed before?
1476
1477 For applications-class platforms, the RVV exception model is
1478 element-precise (that is, if an exception occurs on element j of a
1479 vector instruction, elements 0..j-1 have completed execution and elements
1480 j+1..vl-1 have not executed).
1481
1482 Certain classes of embedded platforms where exceptions are always fatal
1483 might choose to offer resumable/swappable interrupts but not precise
1484 exceptions.
1485
1486
1487 > Is RVV designed in any way to be re-entrant?
1488
1489 Yes.
1490
1491
1492 > What would the implications be for instructions that were in a FIFO at
1493 > the time, in out-of-order and VLIW implementations, where partial decode
1494 > had taken place?
1495
1496 The usual bag of tricks for maintaining precise exceptions applies to
1497 vector machines as well. Register renaming makes the job easier, and
1498 it's relatively cheaper for vectors, since the control cost is amortized
1499 over longer registers.
1500
1501
1502 > Would it be reasonable at least to say *bypass* (and freeze) the
1503 > instruction FIFO (drop down to a single-issue execution model temporarily)
1504 > for the purposes of executing the instructions in the interrupt (whilst
1505 > setting up the VM page), then re-continue the instruction with all
1506 > state intact?
1507
1508 This approach has been done successfully, but it's desirable to be
1509 able to swap out the vector unit state to support context switches on
1510 exceptions that result in long-latency I/O.
1511
1512
1513 > Or would it be better to switch to an entirely separate secondary
1514 > hyperthread context?
1515
1516 > Does anyone have any ideas or know if there is any academic literature
1517 > on solutions to this problem?
1518
1519 The Vector VAX offered imprecise but restartable and swappable exceptions:
1520 http://mprc.pku.edu.cn/~liuxianhua/chn/corpus/Notes/articles/isca/1990/VAX%20vector%20architecture.pdf
1521
1522 Sec. 4.6 of Krste's dissertation assesses some of
1523 the tradeoffs and references a bunch of related work:
1524 http://people.eecs.berkeley.edu/~krste/thesis.pdf
1525
1526
1527 ----
1528
1529 Started reading section 4.6 of Krste's thesis, noted the "IEE85 F.P
1530 exceptions" and thought, "hmmm that could go into a CSR, must re-read
1531 the section on FP state CSRs in RVV 0.4-Draft again" then i suddenly
1532 thought, "ah ha! what if the memory exceptions were, instead of having
1533 an immediate exception thrown, were simply stored in a type of predication
1534 bit-field with a flag "error this element failed"?
1535
1536 Then, *after* the vector load (or store, or even operation) was
1537 performed, you could *then* raise an exception, at which point it
1538 would be possible (yes in software... I know....) to go "hmmm, these
1539 indexed operations didn't work, let's get them into memory by triggering
1540 page-loads", then *re-run the entire instruction* but this time with a
1541 "memory-predication CSR" that stops the already-performed operations
1542 (whether they be loads, stores or an arithmetic / FP operation) from
1543 being carried out a second time.
1544
1545 This theoretically could end up being done multiple times in an SMP
1546 environment, and also for LD.X there would be the remote outside annoying
1547 possibility that the indexed memory address could end up being modified.
1548
1549 The advantage would be that the order of execution need not be
1550 sequential, which potentially could have some big advantages.
1551 Am still thinking through the implications as any dependent operations
1552 (particularly ones already decoded and moved into the execution FIFO)
1553 would still be there (and stalled). hmmm.
1554
1555 ----
1556
1557 > > # assume internal parallelism of 8 and MAXVECTORLEN of 8
1558 > > VSETL r0, 8
1559 > > FADD x1, x2, x3
1560 >
1561 > > x3[0]: ok
1562 > > x3[1]: exception
1563 > > x3[2]: ok
1564 > > ...
1565 > > ...
1566 > > x3[7]: ok
1567 >
1568 > > what happens to result elements 2-7?  those may be *big* results
1569 > > (RV128)
1570 > > or in the RVV-Extended may be arbitrary bit-widths far greater.
1571 >
1572 >  (you replied:)
1573 >
1574 > Thrown away.
1575
1576 discussion then led to the question of OoO architectures
1577
1578 > The costs of the imprecise-exception model are greater than the benefit.
1579 > Software doesn't want to cope with it.  It's hard to debug.  You can't
1580 > migrate state between different microarchitectures--unless you force all
1581 > implementations to support the same imprecise-exception model, which would
1582 > greatly limit implementation flexibility.  (Less important, but still
1583 > relevant, is that the imprecise model increases the size of the context
1584 > structure, as the microarchitectural guts have to be spilled to memory.)
1585
1586
1587 ## Implementation Paradigms
1588
1589 TODO: assess various implementation paradigms. These are listed roughly
1590 in order of simplicity (minimum compliance, for ultra-light-weight
1591 embedded systems or to reduce design complexity and the burden of
1592 design implementation and compliance, in non-critical areas), right the
1593 way to high-performance systems.
1594
1595 * Full (or partial) software-emulated (via traps): full support for CSRs
1596 required, however when a register is used that is detected (in hardware)
1597 to be vectorised, an exception is thrown.
1598 * Single-issue In-order, reduced pipeline depth (traditional SIMD / DSP)
1599 * In-order 5+ stage pipelines with instruction FIFOs and mild register-renaming
1600 * Out-of-order with instruction FIFOs and aggressive register-renaming
1601 * VLIW
1602
1603 Also to be taken into consideration:
1604
1605 * "Virtual" vectorisation: single-issue loop, no internal ALU parallelism
1606 * Comphrensive vectorisation: FIFOs and internal parallelism
1607 * Hybrid Parallelism
1608
1609 # TODO Research
1610
1611 > For great floating point DSPs check TI’s C3x, C4X, and C6xx DSPs
1612
1613 # References
1614
1615 * SIMD considered harmful <https://www.sigarch.org/simd-instructions-considered-harmful/>
1616 * Link to first proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/GuukrSjgBH8>
1617 * Recommendation by Jacob Bachmeyer to make zero-overhead loop an
1618 "implicit program-counter" <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/vYVi95gF2Mo/SHz6a4_lAgAJ>
1619 * Re-continuing P-Extension proposal <https://groups.google.com/a/groups.riscv.org/forum/#!msg/isa-dev/IkLkQn3HvXQ/SEMyC9IlAgAJ>
1620 * First Draft P-SIMD (DSP) proposal <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/vYVi95gF2Mo>
1621 * B-Extension discussion <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/zi_7B15kj6s>
1622 * Broadcom VideoCore-IV <https://docs.broadcom.com/docs/12358545>
1623 Figure 2 P17 and Section 3 on P16.
1624 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-262.html>
1625 * Hwacha <https://www2.eecs.berkeley.edu/Pubs/TechRpts/2015/EECS-2015-263.html>
1626 * Vector Workshop <http://riscv.org/wp-content/uploads/2015/06/riscv-vector-workshop-june2015.pdf>
1627 * Predication <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/XoP4BfYSLXA>
1628 * Branch Divergence <https://jbush001.github.io/2014/12/07/branch-divergence-in-parallel-kernels.html>
1629 * Life of Triangles (3D) <https://jbush001.github.io/2016/02/27/life-of-triangle.html>
1630 * Videocore-IV <https://github.com/hermanhermitage/videocoreiv/wiki/VideoCore-IV-3d-Graphics-Pipeline>
1631 * Discussion proposing CSRs that change ISA definition
1632 <https://groups.google.com/a/groups.riscv.org/forum/#!topic/isa-dev/InzQ1wr_3Ak>
1633 * Zero-overhead loops <https://pdfs.semanticscholar.org/dbaa/66985cc730d4b44d79f519e96ec9c43ab5b7.pdf>
1634 * Multi-ported VLIW Register File Implementation <https://ce-publications.et.tudelft.nl/publications/1517_multiple_contexts_in_a_multiported_vliw_register_file_impl.pdf>
1635 * Fast context save/restore proposal <https://groups.google.com/a/groups.riscv.org/d/msgid/isa-dev/57F823FA.6030701%40gmail.com>
1636 * Register File Bank Cacheing <https://www.princeton.edu/~rblee/ELE572Papers/MultiBankRegFile_ISCA2000.pdf>
1637 * Expired Patent on Vector Virtual Memory solutions
1638 <https://patentimages.storage.googleapis.com/fc/f6/e2/2cbee92fcd8743/US5895501.pdf>
1639 * Discussion on RVV "re-entrant" capabilities allowing operations to be
1640 restarted if an exception occurs (VM page-table miss)
1641 <https://groups.google.com/a/groups.riscv.org/d/msg/isa-dev/IuNFitTw9fM/CCKBUlzsAAAJ>
1642 * Dot Product Vector <https://people.eecs.berkeley.edu/~biancolin/papers/arith17.pdf>