add sdcard dummy interface
[pinmux.git] / src / bsv / pinmux_generator.py
1 # ================================== Steps to add peripherals ============
2 # Step-1: create interface declaration for the peripheral to be added.
3 # Remember these are interfaces defined for the pinmux and hence
4 # will be opposite to those defined at the peripheral.
5 # For eg. the output TX from the UART will be input (method Action)
6 # for the pinmux.
7 # These changes will have to be done in interface_decl.py
8 # Step-2 define the wires that will be required to transfer data from the
9 # peripheral interface to the IO cell and vice-versa. Create a
10 # mkDWire for each input/output between the peripheral and the
11 # pinmux. Also create an implicit wire of GenericIOType for each cell
12 # that can be connected to a each bit from the peripheral.
13 # These changes will have to be done in wire_def.py
14 # Step-3: create the definitions for each of the methods defined above.
15 # These changes will have to be done in interface_decl.py
16 # ========================================================================
17
18 # default module imports
19 import shutil
20 import os
21 import os.path
22 import time
23
24 # project module imports
25 from bsv.interface_decl import Interfaces, mux_interface, io_interface
26 from parse import Parse
27 from bsv.actual_pinmux import init
28 from bsv.bus_transactors import axi4_lite
29
30 copyright = '''
31 /*
32 This BSV file has been generated by the PinMux tool available at:
33 https://bitbucket.org/casl/pinmux.
34
35 Authors: Neel Gala, Luke
36 Date of generation: ''' + time.strftime("%c") + '''
37 */
38 '''
39 header = copyright + '''
40 package pinmux;
41
42 '''
43 footer = '''
44 endmodule
45 endpackage
46 '''
47
48
49 def pinmuxgen(pth=None, verify=True):
50 """ populating the file with the code
51 """
52
53 p = Parse(pth, verify)
54 iocells = Interfaces()
55 iocells.ifaceadd('io', p.N_IO, io_interface, 0)
56 ifaces = Interfaces(pth)
57 #ifaces.ifaceadd('io', p.N_IO, io_interface, 0)
58 init(p, ifaces)
59
60 bp = 'bsv_src'
61 if pth:
62 bp = os.path.join(pth, bp)
63 if not os.path.exists(bp):
64 os.makedirs(bp)
65 bl = os.path.join(bp, 'bsv_lib')
66 if not os.path.exists(bl):
67 os.makedirs(bl)
68
69 cwd = os.path.split(__file__)[0]
70
71 # copy over template and library files
72 shutil.copyfile(os.path.join(cwd, 'Makefile.template'),
73 os.path.join(bp, 'Makefile'))
74 cwd = os.path.join(cwd, 'bsv_lib')
75 for fname in ['AXI4_Lite_Types.bsv', 'Semi_FIFOF.bsv',
76 'gpio.bsv', 'mux.bsv',
77 'AXI4_Types.bsv', 'defined_types.bsv',
78 'AXI4_Fabric.bsv', 'Uart16550.bsv',
79 'AXI4_Lite_Fabric.bsv', 'ConcatReg.bsv',
80 'Uart_bs.bsv', 'RS232_modified.bsv',
81 'AXI4Lite_AXI4_Bridge.bsv',
82 'I2C_top.bsv', 'I2C_Defs.bsv',
83 'plic.bsv', 'Cur_Cycle.bsv',
84 'ClockDiv.bsv', 'axi_addr_generator.bsv',
85 'jtagdtm_new.bsv', 'jtagdefines.bsv',
86 'sdcard_dummy.bsv',
87 'pwm.bsv', 'qspi.bsv', 'qspi.defs',
88 ]:
89 shutil.copyfile(os.path.join(cwd, fname),
90 os.path.join(bl, fname))
91
92 bus = os.path.join(bp, 'busenable.bsv')
93 pmp = os.path.join(bp, 'pinmux.bsv')
94 ptp = os.path.join(bp, 'PinTop.bsv')
95 bvp = os.path.join(bp, 'bus.bsv')
96 idef = os.path.join(bp, 'instance_defines.bsv')
97 slow = os.path.join(bp, 'slow_peripherals.bsv')
98 slowt = os.path.join(cwd, 'slow_peripherals_template.bsv')
99
100 write_pmp(pmp, p, ifaces, iocells)
101 write_ptp(ptp, p, ifaces)
102 write_bvp(bvp, p, ifaces)
103 write_bus(bus, p, ifaces)
104 write_instances(idef, p, ifaces)
105 write_slow(slow, slowt, p, ifaces, iocells)
106
107
108 def write_slow(slow, template, p, ifaces, iocells):
109 """ write out the slow_peripherals.bsv file.
110 joins all the peripherals together into one AXI Lite interface
111 """
112 with open(template) as bsv_file:
113 template = bsv_file.read()
114 imports = ifaces.slowimport()
115 ifdecl = ifaces.slowifdeclmux()
116 regdef = ifaces.axi_reg_def()
117 slavedecl = ifaces.axi_slave_idx()
118 fnaddrmap = ifaces.axi_addr_map()
119 mkslow = ifaces.mkslow_peripheral()
120 mkcon = ifaces.mk_connection()
121 mkcellcon = ifaces.mk_cellconn()
122 pincon = ifaces.mk_pincon()
123 with open(slow, "w") as bsv_file:
124 bsv_file.write(template.format(imports, ifdecl, regdef, slavedecl,
125 fnaddrmap, mkslow, mkcon, mkcellcon,
126 pincon))
127
128
129 def write_bus(bus, p, ifaces):
130 # package and interface declaration followed by
131 # the generic io_cell definition
132 with open(bus, "w") as bsv_file:
133 ifaces.busfmt(bsv_file)
134
135
136 def write_pmp(pmp, p, ifaces, iocells):
137 # package and interface declaration followed by
138 # the generic io_cell definition
139 with open(pmp, "w") as bsv_file:
140 bsv_file.write(header)
141
142 cell_bit_width = 'Bit#(%d)' % p.cell_bitwidth
143 bsv_file.write('''\
144 interface MuxSelectionLines;
145
146 // declare the method which will capture the user pin-mux
147 // selection values.The width of the input is dependent on the number
148 // of muxes happening per IO. For now we have a generalized width
149 // where each IO will have the same number of muxes.''')
150
151 for cell in p.muxed_cells:
152 bsv_file.write(mux_interface.ifacefmt(cell[0], cell_bit_width))
153
154 bsv_file.write("\n endinterface\n")
155
156 bsv_file.write('''
157
158 interface IOCellSide;
159 // declare the interface to the IO cells.
160 // Each IO cell will have 1 input field (output from pin mux)
161 // and an output and out-enable field (input to pinmux)''')
162
163 # == create method definitions for all iocell interfaces ==#
164 iocells.ifacefmt(bsv_file)
165
166 # ===== finish interface definition and start module definition=======
167 bsv_file.write("\n endinterface\n")
168
169 # ===== io cell definition =======
170 bsv_file.write('''
171
172 interface PeripheralSide;
173 // declare the interface to the peripherals
174 // Each peripheral's function will be either an input, output
175 // or be bi-directional. an input field will be an output from the
176 // peripheral and an output field will be an input to the peripheral.
177 // Bi-directional functions also have an output-enable (which
178 // again comes *in* from the peripheral)''')
179 # ==============================================================
180
181 # == create method definitions for all peripheral interfaces ==#
182 ifaces.ifacefmt(bsv_file)
183 bsv_file.write("\n endinterface\n")
184
185 # ===== finish interface definition and start module definition=======
186 bsv_file.write('''
187
188 interface Ifc_pinmux;
189 // this interface controls how each IO cell is routed. setting
190 // any given IO cell's mux control value will result in redirection
191 // of not just the input or output to different peripheral functions
192 // but also the *direction* control - if appropriate - as well.
193 interface MuxSelectionLines mux_lines;
194
195 // this interface contains the inputs, outputs and direction-control
196 // lines for all peripherals. GPIO is considered to also be just
197 // a peripheral because it also has in, out and direction-control.
198 interface PeripheralSide peripheral_side;
199
200 // this interface is to be linked to the individual IO cells.
201 // if looking at a "non-muxed" GPIO design, basically the
202 // IO cell input, output and direction-control wires are cut
203 // (giving six pairs of dangling wires, named left and right)
204 // these iocells are routed in their place on one side ("left")
205 // and the matching *GPIO* peripheral interfaces in/out/dir
206 // connect to the OTHER side ("right"). the result is that
207 // the muxer settings end up controlling the routing of where
208 // the I/O from the IOcell actually goes.
209 interface IOCellSide iocell_side;
210 endinterface
211 (*synthesize*)
212 module mkpinmux(Ifc_pinmux);
213 ''')
214 # ====================================================================
215
216 # ======================= create wire and registers =================#
217 bsv_file.write('''
218 // the followins wires capture the pin-mux selection
219 // values for each mux assigned to a CELL
220 ''')
221 for cell in p.muxed_cells:
222 bsv_file.write(mux_interface.wirefmt(
223 cell[0], cell_bit_width))
224
225 iocells.wirefmt(bsv_file)
226 ifaces.wirefmt(bsv_file)
227
228 bsv_file.write("\n")
229 # ====================================================================
230 # ========================= Actual pinmuxing ========================#
231 bsv_file.write('''
232 /*====== This where the muxing starts for each io-cell======*/
233 Wire#(Bit#(1)) val0<-mkDWire(0); // need a zero
234 ''')
235 bsv_file.write(p.pinmux)
236 bsv_file.write('''
237 /*============================================================*/
238 ''')
239 # ====================================================================
240 # ================= interface definitions for each method =============#
241 bsv_file.write('''
242 interface mux_lines = interface MuxSelectionLines
243 ''')
244 for cell in p.muxed_cells:
245 bsv_file.write(
246 mux_interface.ifacedef(
247 cell[0], cell_bit_width))
248 bsv_file.write("\n endinterface;")
249
250 bsv_file.write('''
251 interface iocell_side = interface IOCellSide
252 ''')
253 iocells.ifacedef(bsv_file)
254 bsv_file.write("\n endinterface;")
255
256 bsv_file.write('''
257 interface peripheral_side = interface PeripheralSide
258 ''')
259 ifaces.ifacedef(bsv_file)
260 bsv_file.write("\n endinterface;")
261
262 bsv_file.write(footer)
263 print("BSV file successfully generated: bsv_src/pinmux.bsv")
264 # ======================================================================
265
266
267 def write_ptp(ptp, p, ifaces):
268 with open(ptp, 'w') as bsv_file:
269 bsv_file.write(copyright + '''
270 package PinTop;
271 import pinmux::*;
272 interface Ifc_PintTop;
273 method ActionValue#(Bool) write(Bit#({0}) addr, Bit#({1}) data);
274 method Tuple2#(Bool,Bit#({1})) read(Bit#({0}) addr);
275 interface PeripheralSide peripheral_side;
276 endinterface
277
278 module mkPinTop(Ifc_PintTop);
279 // instantiate the pin-mux module here
280 Ifc_pinmux pinmux <-mkpinmux;
281
282 // declare the registers which will be used to mux the IOs
283 '''.format(p.ADDR_WIDTH, p.DATA_WIDTH))
284
285 cell_bit_width = str(p.cell_bitwidth)
286 for cell in p.muxed_cells:
287 bsv_file.write('''
288 Reg#(Bit#({0})) rg_muxio_{1} <-mkReg(0);'''.format(
289 cell_bit_width, cell[0]))
290
291 bsv_file.write('''
292 // rule to connect the registers to the selection lines of the
293 // pin-mux module
294 rule connect_selection_registers;''')
295
296 for cell in p.muxed_cells:
297 bsv_file.write('''
298 pinmux.mux_lines.cell{0}_mux(rg_muxio_{0});'''.format(cell[0]))
299
300 bsv_file.write('''
301 endrule
302 // method definitions for the write user interface
303 method ActionValue#(Bool) write(Bit#({2}) addr, Bit#({3}) data);
304 Bool err=False;
305 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
306 p.ADDR_WIDTH, p.DATA_WIDTH))
307 index = 0
308 for cell in p.muxed_cells:
309 bsv_file.write('''
310 {0}: rg_muxio_{1}<=truncate(data);'''.format(index, cell[0]))
311 index = index + 1
312
313 bsv_file.write('''
314 default: err=True;
315 endcase
316 return err;
317 endmethod''')
318
319 bsv_file.write('''
320 // method definitions for the read user interface
321 method Tuple2#(Bool,Bit#({3})) read(Bit#({2}) addr);
322 Bool err=False;
323 Bit#(32) data=0;
324 case (addr[{0}:{1}])'''.format(p.upper_offset, p.lower_offset,
325 p.ADDR_WIDTH, p.DATA_WIDTH))
326 index = 0
327 for cell in p.muxed_cells:
328 bsv_file.write('''
329 {0}: data=zeroExtend(rg_muxio_{1});'''.format(index, cell[0]))
330 index = index + 1
331
332 bsv_file.write('''
333 default:err=True;
334 endcase
335 return tuple2(err,data);
336 endmethod
337 interface peripheral_side=pinmux.peripheral_side;
338 endmodule
339 endpackage
340 ''')
341
342
343 def write_bvp(bvp, p, ifaces):
344 # ######## Generate bus transactors ################
345 gpiocfg = '\t\tinterface GPIO_config#({4}) bank{3}_config;\n' \
346 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) bank{3}_slave;'
347 muxcfg = '\t\tinterface MUX_config#({4}) muxb{3}_config;\n' \
348 '\t\tinterface AXI4_Lite_Slave_IFC#({0},{1},{2}) muxb{3}_slave;'
349
350 gpiodec = '\tGPIO#({0}) mygpio{1} <- mkgpio();'
351 muxdec = '\tMUX#({0}) mymux{1} <- mkmux();'
352 gpioifc = '\tinterface bank{0}_config=mygpio{0}.pad_config;\n' \
353 '\tinterface bank{0}_slave=mygpio{0}.axi_slave;'
354 muxifc = '\tinterface muxb{0}_config=mymux{0}.mux_config;\n' \
355 '\tinterface muxb{0}_slave=mymux{0}.axi_slave;'
356 with open(bvp, 'w') as bsv_file:
357 # assume here that all muxes have a 1:1 gpio
358 cfg = []
359 decl = []
360 idec = []
361 iks = sorted(ifaces.keys())
362 for iname in iks:
363 if not iname.startswith('gpio'): # TODO: declare other interfaces
364 continue
365 bank = iname[4:]
366 ifc = ifaces[iname]
367 npins = len(ifc.pinspecs)
368 cfg.append(gpiocfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
369 0, # USERSPACE
370 bank, npins))
371 cfg.append(muxcfg.format(p.ADDR_WIDTH, p.DATA_WIDTH,
372 0, # USERSPACE
373 bank, npins))
374 decl.append(gpiodec.format(npins, bank))
375 decl.append(muxdec .format(npins, bank))
376 idec.append(gpioifc.format(bank))
377 idec.append(muxifc.format(bank))
378 print dir(ifaces)
379 print ifaces.items()
380 print dir(ifaces['gpioa'])
381 print ifaces['gpioa'].pinspecs
382 gpiodecl = '\n'.join(decl) + '\n' + '\n'.join(idec)
383 gpiocfg = '\n'.join(cfg)
384 bsv_file.write(axi4_lite.format(gpiodecl, gpiocfg))
385 # ##################################################
386
387
388 def write_instances(idef, p, ifaces):
389 with open(idef, 'w') as bsv_file:
390 txt = '''\
391 `define ADDR {0}
392 `define PADDR {0}
393 `define DATA {1}
394 `define Reg_width {1}
395 `define USERSPACE 0
396
397 // TODO: work out if these are needed
398 `define PWM_AXI4Lite
399 `define PRFDEPTH 6
400 `define VADDR 39
401 `define DCACHE_BLOCK_SIZE 4
402 `define DCACHE_WORD_SIZE 8
403 `define PERFMONITORS 64
404 `define DCACHE_WAYS 4
405 `define DCACHE_TAG_BITS 20 // tag_bits = 52
406 `define PLIC
407 `define PLICBase 'h0c000000
408 `define PLICEnd 'h10000000
409 `define INTERRUPT_PINS 64
410
411 `define BAUD_RATE 130
412 `ifdef simulate
413 `define BAUD_RATE 5 //130 //
414 `endif
415 '''
416 bsv_file.write(txt.format(p.ADDR_WIDTH, p.DATA_WIDTH))