add extra ld elwidth tests, add #defines for elwidths
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 29 Oct 2018 05:57:22 +0000 (05:57 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Mon, 29 Oct 2018 05:57:22 +0000 (05:57 +0000)
isa/macros/simplev/sv_test_macros.h
isa/rv64ui/sv_ld_elwidth.S

index dccb7846967d61ce8659980a7bb4c6ba0915e152..0395755164b8e89e89f71f987c8e93f8e1608e02 100644 (file)
@@ -53,3 +53,8 @@
         ld      x1, offs(x1); \
         fmv.x.d x2, freg; \
         bne     x2, x1, fail
+
+#define SV_W_DFLT 0
+#define SV_W_8BIT 1
+#define SV_W_16BIT 2
+#define SV_W_32BIT 3
index dceb13f14e4d5d24a854cf637237a7422347aef2..2aea71fd05e61a936125e0f9ca8ada9fb6557836 100644 (file)
@@ -41,14 +41,20 @@ RVTEST_RV64U        # Define TVM used by program.
 # Test code region.
 RVTEST_CODE_BEGIN   # Start of test code.
 
-        SV_ELWIDTH_TEST(  ld , 2, 8, 0, 0, testdata1,
+        SV_ELWIDTH_TEST(  ld , 2, 8, SV_W_DFLT, SV_W_DFLT, testdata1,
                 0x8979695949392919,  0x8777675747372717,  0xa5a5a5a5a5a5a5a5 )
-        SV_ELWIDTH_TEST(  ld , 3, 8, 0, 0, testdata1,
+        SV_ELWIDTH_TEST(  ld , 3, 8, SV_W_DFLT, SV_W_DFLT, testdata1,
                 0x8979695949392919,  0x8777675747372717,  0x8676665646362616 )
-        SV_ELWIDTH_TEST(  ld , 3, 8, 2, 0, testdata1,
+        SV_ELWIDTH_TEST(  ld , 3, 8, SV_W_16BIT, SV_W_DFLT, testdata1,
                 0x0000000000002919,  0x0000000000004939,  0x0000000000006959 )
-        SV_ELWIDTH_TEST(  ld , 5, 8, 2, 3, testdata1,
+        SV_ELWIDTH_TEST(  ld , 5, 8, SV_W_16BIT, SV_W_32BIT, testdata1,
                 0x0000493900002919,  0xffff897900006959,  0xa5a5a5a500002717 )
+        SV_ELWIDTH_TEST(  ld , 5, 8, SV_W_32BIT, SV_W_16BIT, testdata1,
+                0x6757271769592919,  0xa5a5a5a5a5a52616,  0xa5a5a5a5a5a5a5a5 )
+        SV_ELWIDTH_TEST(  ld , 7, 8, SV_W_16BIT, SV_W_8BIT, testdata1,
+                0xa557371779593919,  0xa5a5a5a5a5a5a5a5,  0xa5a5a5a5a5a5a5a5 )
+        SV_ELWIDTH_TEST(  ld , 11, 8, SV_W_8BIT, SV_W_16BIT, testdata1,
+                0x0049003900290019,  0xff89007900690059,  0xa5a5003700270017 )
 
         RVTEST_PASS           # Signal success.
 fail: