8e9352c6a2ab8657c9a9702ea4c1c195d9ac4843
[rv32.git] / cpu.py
1 """
2 /*
3 * Copyright 2018 Jacob Lifshay
4 *
5 * Permission is hereby granted, free of charge, to any person obtaining a copy
6 * of this software and associated documentation files (the "Software"), to deal
7 * in the Software without restriction, including without limitation the rights
8 * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
9 * copies of the Software, and to permit persons to whom the Software is
10 * furnished to do so, subject to the following conditions:
11 *
12 * The above copyright notice and this permission notice shall be included in all
13 * copies or substantial portions of the Software.
14 *
15 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
16 * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
17 * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
18 * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
19 * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
20 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
21 * SOFTWARE.
22 *
23 */
24 `timescale 1ns / 1ps
25 `include "riscv.vh"
26 `include "cpu.vh"
27 """
28
29 import string
30 from migen import *
31 from migen.fhdl import verilog
32 from migen.fhdl.structure import _Operator
33
34 from riscvdefs import *
35 from cpudefs import *
36
37 class MemoryInterface:
38 fetch_address = Signal(32, name="memory_interface_fetch_address") # XXX [2:]
39 fetch_data = Signal(32, name="memory_interface_fetch_data")
40 fetch_valid = Signal(name="memory_interface_fetch_valid")
41 rw_address= Signal(32, name="memory_interface_rw_address") # XXX [2:]
42 rw_byte_mask = Signal(4, name="memory_interface_rw_byte_mask")
43 rw_read_not_write = Signal(name="memory_interface_rw_read_not_write")
44 rw_active = Signal(name="memory_interface_rw_active")
45 rw_data_in = Signal(32, name="memory_interface_rw_data_in")
46 rw_data_out = Signal(32, name="memory_interface_rw_data_out")
47 rw_address_valid = Signal(name="memory_interface_rw_address_valid")
48 rw_wait = Signal(name="memory_interface_rw_wait")
49
50
51 class Decoder:
52 funct7 = Signal(7, name="decoder_funct7")
53 funct3 = Signal(3, name="decoder_funct3")
54 rd = Signal(5, name="decoder_rd")
55 rs1 = Signal(5, name="decoder_rs1")
56 rs2 = Signal(5, name="decoder_rs2")
57 immediate = Signal(32, name="decoder_immediate")
58 opcode = Signal(7, name="decoder_opcode")
59 act = Signal(decode_action, name="decoder_action")
60
61 class MStatus:
62 def __init__(self, comb, sync):
63 self.comb = comb
64 self.sync = sync
65 self.mpie = Signal(name="mstatus_mpie")
66 self.mie = Signal(name="mstatus_mie")
67 self.mprv = Signal(name="mstatus_mprv")
68 self.tsr = Signal(name="mstatus_tsr")
69 self.tw = Signal(name="mstatus_tw")
70 self.tvm = Signal(name="mstatus_tvm")
71 self.mxr = Signal(name="mstatus_mxr")
72 self._sum = Signal(name="mstatus_sum")
73 self.xs = Signal(name="mstatus_xs")
74 self.fs = Signal(name="mstatus_fs")
75 self.mpp = Signal(2, name="mstatus_mpp")
76 self.spp = Signal(name="mstatus_spp")
77 self.spie = Signal(name="mstatus_spie")
78 self.upie = Signal(name="mstatus_upie")
79 self.sie = Signal(name="mstatus_sie")
80 self.uie = Signal(name="mstatus_uie")
81
82 for n in dir(self):
83 if n in ['make', 'mpp', 'comb', 'sync'] or n.startswith("_"):
84 continue
85 self.comb += getattr(self, n).eq(0x0)
86 self.comb += self.mpp.eq(0b11)
87
88 self.sync += self.mie.eq(0)
89 self.sync += self.mpie.eq(0)
90
91 def make(self):
92 return Cat(
93 self.uie, self.sie, Constant(0), self.mie,
94 self.upie, self.spie, Constant(0), self.mpie,
95 self.spp, Constant(0, 2), self.mpp,
96 self.fs, self.xs, self.mprv, self._sum,
97 self.mxr, self.tvm, self.tw, self.tsr,
98 Constant(0, 8),
99 (self.xs == Constant(0b11, 2)) | (self.fs == Constant(0b11, 2))
100 )
101
102
103 class MIE:
104 def __init__(self, comb, sync):
105 self.comb = comb
106 self.sync = sync
107 self.meie = Signal(name="mie_meie")
108 self.mtie = Signal(name="mie_mtie")
109 self.msie = Signal(name="mie_msie")
110 self.ueie = Signal(name="mie_ueie")
111 self.stie = Signal(name="mie_stie")
112 self.utie = Signal(name="mie_utie")
113 self.ssie = Signal(name="mie_ssie")
114 self.usie = Signal(name="mie_usie")
115
116 for n in dir(self):
117 if n in ['make', 'comb', 'sync'] or n.startswith("_"):
118 continue
119 self.comb += getattr(self, n).eq(0x0)
120
121 self.sync += self.meie.eq(0)
122 self.sync += self.mtie.eq(0)
123 self.sync += self.msie.eq(0)
124
125 class MIP:
126 def __init__(self, comb, sync):
127 self.comb = comb
128 self.sync = sync
129 self.meip = Signal(name="mip_meip") # TODO: implement ext interrupts
130 self.seip = Signal(name="mip_seip")
131 self.ueip = Signal(name="mip_uiep")
132 self.mtip = Signal(name="mip_mtip") # TODO: implement timer interrupts
133 self.stip = Signal(name="mip_stip")
134 self.msip = Signal(name="mip_stip")
135 self.utip = Signal(name="mip_utip")
136 self.ssip = Signal(name="mip_ssip")
137 self.usip = Signal(name="mip_usip")
138
139 for n in dir(self):
140 if n in ['comb', 'sync'] or n.startswith("_"):
141 continue
142 self.comb += getattr(self, n).eq(0x0)
143
144
145 class M:
146 def __init__(self, comb, sync):
147 self.comb = comb
148 self.sync = sync
149 self.mcause = Signal(32)
150 self.mepc = Signal(32)
151 self.mscratch = Signal(32)
152 self.sync += self.mcause.eq(0)
153 self.sync += self.mepc.eq(0) # 32'hXXXXXXXX;
154 self.sync += self.mscratch.eq(0) # 32'hXXXXXXXX;
155
156
157 class Misa:
158 def __init__(self, comb, sync):
159 self.comb = comb
160 self.sync = sync
161 self.misa = Signal(32)
162 cl = []
163 for l in list(string.ascii_lowercase):
164 value = 1 if l == 'i' else 0
165 cl.append(Constant(value))
166 cl.append(Constant(0, 4))
167 cl.append(Constant(0b01, 2))
168 self.comb += self.misa.eq(Cat(cl))
169
170
171 class Fetch:
172 def __init__(self, comb, sync):
173 self.comb = comb
174 self.sync = sync
175 self.action = Signal(fetch_action, name="fetch_action")
176 self.target_pc = Signal(32, name="fetch_target_pc")
177 self.output_pc = Signal(32, name="fetch_output_pc")
178 self.output_instruction = Signal(32, name="fetch_ouutput_instruction")
179 self.output_state = Signal(fetch_output_state,name="fetch_output_state")
180
181 def get_fetch_action(self, dc, load_store_misaligned, mi,
182 branch_taken, misaligned_jump_target,
183 csr_op_is_valid):
184 c = {}
185 c["default"] = self.action.eq(FA.default) # XXX should be 32'XXXXXXXX?
186 c[FOS.empty] = self.action.eq(FA.default)
187 c[FOS.trap] = self.action.eq(FA.ack_trap)
188
189 # illegal instruction -> error trap
190 i= If((dc.act & DA.trap_illegal_instruction) != 0,
191 self.action.eq(FA.error_trap)
192 )
193
194 # ecall / ebreak -> noerror trap
195 i = i.Elif((dc.act & DA.trap_ecall_ebreak) != 0,
196 self.action.eq(FA.noerror_trap))
197
198 # load/store: check alignment, check wait
199 i = i.Elif((dc.act & (DA.load | DA.store)) != 0,
200 If((load_store_misaligned | ~mi.rw_address_valid),
201 self.action.eq(FA.error_trap) # misaligned or invalid addr
202 ).Elif(mi.rw_wait,
203 self.action.eq(FA.wait) # wait
204 ).Else(
205 self.action.eq(FA.default) # ok
206 )
207 )
208
209 # fence
210 i = i.Elif((dc.act & DA.fence) != 0,
211 self.action.eq(FA.fence))
212
213 # branch -> misaligned=error, otherwise jump
214 i = i.Elif((dc.act & DA.branch) != 0,
215 If(misaligned_jump_target,
216 self.action.eq(FA.error_trap)
217 ).Else(
218 self.action.eq(FA.jump)
219 )
220 )
221
222 # jal/jalr -> misaligned=error, otherwise jump
223 i = i.Elif((dc.act & (DA.jal | DA.jalr)) != 0,
224 If(misaligned_jump_target,
225 self.action.eq(FA.error_trap)
226 ).Else(
227 self.action.eq(FA.jump)
228 )
229 )
230
231 # csr -> opvalid=ok, else error trap
232 i = i.Elif((dc.act & DA.csr) != 0,
233 If(csr_op_is_valid,
234 self.action.eq(FA.default)
235 ).Else(
236 self.action.eq(FA.error_trap)
237 )
238 )
239
240 c[FOS.valid] = i
241
242 return Case(self.output_state, c)
243
244 class CSR:
245 def __init__(self, comb, sync, dc, register_rs1):
246 self.comb = comb
247 self.sync = sync
248 self.number = Signal(12, name="csr_number")
249 self.input_value = Signal(32, name="csr_input_value")
250 self.reads = Signal(name="csr_reads")
251 self.writes = Signal(name="csr_writes")
252 self.op_is_valid = Signal(name="csr_op_is_valid")
253
254 self.comb += self.number.eq(dc.immediate)
255 self.comb += self.input_value.eq(Mux(dc.funct3[2],
256 dc.rs1,
257 register_rs1))
258 self.comb += self.reads.eq(dc.funct3[1] | (dc.rd != 0))
259 self.comb += self.writes.eq(~dc.funct3[1] | (dc.rs1 != 0))
260
261 self.comb += self.get_csr_op_is_valid()
262
263 def get_csr_op_is_valid(self):
264 """ determines if a CSR is valid
265 """
266 c = {}
267 # invalid csrs
268 for f in [csr_ustatus, csr_fflags, csr_frm, csr_fcsr,
269 csr_uie, csr_utvec, csr_uscratch, csr_uepc,
270 csr_ucause, csr_utval, csr_uip, csr_sstatus,
271 csr_sedeleg, csr_sideleg, csr_sie, csr_stvec,
272 csr_scounteren, csr_sscratch, csr_sepc, csr_scause,
273 csr_stval, csr_sip, csr_satp, csr_medeleg,
274 csr_mideleg, csr_dcsr, csr_dpc, csr_dscratch]:
275 c[f] = self.op_is_valid.eq(0)
276
277 # not-writeable -> ok
278 for f in [csr_cycle, csr_time, csr_instret, csr_cycleh,
279 csr_timeh, csr_instreth, csr_mvendorid, csr_marchid,
280 csr_mimpid, csr_mhartid]:
281 c[f] = self.op_is_valid.eq(~self.writes)
282
283 # valid csrs
284 for f in [csr_misa, csr_mstatus, csr_mie, csr_mtvec,
285 csr_mscratch, csr_mepc, csr_mcause, csr_mip]:
286 c[f] = self.op_is_valid.eq(1)
287
288 # not implemented / default
289 for f in [csr_mcounteren, csr_mtval, csr_mcycle, csr_minstret,
290 csr_mcycleh, csr_minstreth, "default"]:
291 c[f] = self.op_is_valid.eq(0)
292
293 return Case(self.number, c)
294
295
296 class CPU(Module):
297 """
298 """
299
300 def get_ls_misaligned(self, ls, funct3, load_store_address_low_2):
301 """ returns whether a load/store is misaligned
302 """
303 return Case(funct3[:2],
304 { F3.sb: ls.eq(Constant(0)),
305 F3.sh: ls.eq(load_store_address_low_2[0] != 0),
306 F3.sw: ls.eq(load_store_address_low_2[0:2] != Constant(0, 2)),
307 "default": ls.eq(Constant(1))
308 })
309
310 def get_lsbm(self, dc):
311 return Cat(Constant(1),
312 Mux((dc.funct3[1] | dc.funct3[0]),
313 Constant(1), Constant(0)),
314 Mux((dc.funct3[1]),
315 Constant(0b11, 2), Constant(0, 2)))
316
317 # XXX this happens to get done by various self.sync actions
318 #def reset_to_initial(self, m, mstatus, mie, registers):
319 # return [m.mcause.eq(0),
320 # ]
321
322 def write_register(self, register_number, value):
323 return If(register_number != 0,
324 self.registers[register_number].eq(value)
325 )
326
327 def evaluate_csr_funct3_op(self, funct3, previous_value, written_value):
328 c = { "default": Constant(0, 32)}
329 for f in [F3.csrrw, F3.csrrwi]: c[f] = written_value
330 for f in [F3.csrrs, F3.csrrsi]: c[f] = written_value | previous_value
331 for f in [F3.csrrc, F3.csrrci]: c[f] = ~written_value & previous_value
332 return Case(funct3, c)
333
334 def handle_trap(self, m, ms, ft, dc, load_store_misaligned):
335 s = [ms.mpie.eq(ms.mie),
336 ms.mie.eq(0),
337 m.mepc.eq(Mux(ft.action == FA.noerror_trap,
338 ft.output_pc + 4,
339 ft.output_pc))]
340
341 # fetch action ack trap
342 i = If(ft.action == FA.ack_trap,
343 m.mcause.eq(cause_instruction_access_fault)
344 )
345
346 # ecall/ebreak
347 i = i.Elif((dc.act & DA.trap_ecall_ebreak) != 0,
348 m.mcause.eq(Mux(dc.immediate[0],
349 cause_machine_environment_call,
350 cause_breakpoint))
351 )
352
353 # load
354 i = i.Elif((dc.act & DA.load) != 0,
355 If(load_store_misaligned,
356 m.mcause.eq(cause_load_address_misaligned)
357 ).Else(
358 m.mcause.eq(cause_load_access_fault)
359 )
360 )
361
362 # store
363 i = i.Elif((dc.act & DA.store) != 0,
364 If(load_store_misaligned,
365 m.mcause.eq(cause_store_amo_address_misaligned)
366 ).Else(
367 m.mcause.eq(cause_store_amo_access_fault)
368 )
369 )
370
371 # jal/jalr -> misaligned=error, otherwise jump
372 i = i.Elif((dc.act & (DA.jal | DA.jalr | DA.branch)) != 0,
373 m.mcause.eq(cause_instruction_address_misaligned)
374 )
375
376 # defaults to illegal instruction
377 i = i.Else(m.mcause.eq(cause_illegal_instruction))
378
379 s.append(i)
380 return s
381
382 def main_block(self, csr, mi, m, mstatus, ft, dc, load_store_misaligned,
383 loaded_value, alu_result,
384 lui_auipc_result):
385 c = {}
386 c[FOS.empty] = []
387 c[FOS.trap] = self.handle_trap(m, mstatus, ft, dc,
388 load_store_misaligned)
389 c[FOS.valid] = self.handle_valid(csr, mi, m, mstatus, ft, dc,
390 load_store_misaligned,
391 loaded_value,
392 alu_result,
393 lui_auipc_result)
394 return Case(ft.output_state, c)
395
396 def handle_valid(self, csr, mi, m, mstatus, ft, dc, load_store_misaligned,
397 loaded_value, alu_result,
398 lui_auipc_result):
399 # fetch action ack trap
400 i = If((ft.action == FA.ack_trap) | (ft.action == FA.noerror_trap),
401 self.handle_trap(m, mstatus, ft, dc,
402 load_store_misaligned)
403 )
404
405 # load
406 i = i.Elif((dc.act & DA.load) != 0,
407 If(~mi.rw_wait,
408 self.write_register(dc.rd, loaded_value)
409 )
410 )
411
412 # op or op_immediate
413 i = i.Elif((dc.act & DA.op_op_imm) != 0,
414 self.write_register(dc.rd, alu_result)
415 )
416
417 # lui or auipc
418 i = i.Elif((dc.act & DA.lui_auipc) != 0,
419 self.write_register(dc.rd, lui_auipc_result)
420 )
421
422 # jal/jalr
423 i = i.Elif((dc.act & (DA.jal | DA.jalr)) != 0,
424 self.write_register(dc.rd, ft.output_pc + 4)
425 )
426
427 i = i.Elif((dc.act & DA.csr) != 0,
428 self.handle_csr(dc, csr)
429 )
430
431 # fence, store, branch
432 i = i.Elif((dc.act & (DA.fence | DA.fence_i |
433 DA.store | DA.branch)) != 0,
434 # do nothing
435 )
436
437 return i
438
439 def handle_csr(self, dc, csr):
440 return []
441
442 """
443 else if((decode_action & `decode_action_csr) != 0) begin:csr
444 reg [31:0] csr_output_value;
445 reg [31:0] csr_written_value;
446 csr_output_value = 32'hXXXXXXXX;
447 csr_written_value = 32'hXXXXXXXX;
448 case(csr_number)
449 `csr_cycle: begin
450 csr_output_value = cycle_counter[31:0];
451 end
452 `csr_time: begin
453 csr_output_value = time_counter[31:0];
454 end
455 `csr_instret: begin
456 csr_output_value = instret_counter[31:0];
457 end
458 `csr_cycleh: begin
459 csr_output_value = cycle_counter[63:32];
460 end
461 `csr_timeh: begin
462 csr_output_value = time_counter[63:32];
463 end
464 `csr_instreth: begin
465 csr_output_value = instret_counter[63:32];
466 end
467 `csr_mvendorid: begin
468 csr_output_value = mvendorid;
469 end
470 `csr_marchid: begin
471 csr_output_value = marchid;
472 end
473 `csr_mimpid: begin
474 csr_output_value = mimpid;
475 end
476 `csr_mhartid: begin
477 csr_output_value = mhartid;
478 end
479 `csr_misa: begin
480 csr_output_value = misa;
481 end
482 `csr_mstatus: begin
483 csr_output_value = make_mstatus(mstatus_tsr,
484 mstatus_tw,
485 mstatus_tvm,
486 mstatus_mxr,
487 mstatus_sum,
488 mstatus_mprv,
489 mstatus_xs,
490 mstatus_fs,
491 mstatus_mpp,
492 mstatus_spp,
493 mstatus_mpie,
494 mstatus_spie,
495 mstatus_upie,
496 mstatus_mie,
497 mstatus_sie,
498 mstatus_uie);
499 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
500 if(csr_writes) begin
501 mstatus_mpie = csr_written_value[7];
502 mstatus_mie = csr_written_value[3];
503 end
504 end
505 `csr_mie: begin
506 csr_output_value = 0;
507 csr_output_value[11] = mie_meie;
508 csr_output_value[9] = mie_seie;
509 csr_output_value[8] = mie_ueie;
510 csr_output_value[7] = mie_mtie;
511 csr_output_value[5] = mie_stie;
512 csr_output_value[4] = mie_utie;
513 csr_output_value[3] = mie_msie;
514 csr_output_value[1] = mie_ssie;
515 csr_output_value[0] = mie_usie;
516 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
517 if(csr_writes) begin
518 mie_meie = csr_written_value[11];
519 mie_mtie = csr_written_value[7];
520 mie_msie = csr_written_value[3];
521 end
522 end
523 `csr_mtvec: begin
524 csr_output_value = mtvec;
525 end
526 `csr_mscratch: begin
527 csr_output_value = mscratch;
528 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
529 if(csr_writes)
530 mscratch = csr_written_value;
531 end
532 `csr_mepc: begin
533 csr_output_value = mepc;
534 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
535 if(csr_writes)
536 mepc = csr_written_value;
537 end
538 `csr_mcause: begin
539 csr_output_value = mcause;
540 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
541 if(csr_writes)
542 mcause = csr_written_value;
543 end
544 `csr_mip: begin
545 csr_output_value = 0;
546 csr_output_value[11] = mip_meip;
547 csr_output_value[9] = mip_seip;
548 csr_output_value[8] = mip_ueip;
549 csr_output_value[7] = mip_mtip;
550 csr_output_value[5] = mip_stip;
551 csr_output_value[4] = mip_utip;
552 csr_output_value[3] = mip_msip;
553 csr_output_value[1] = mip_ssip;
554 csr_output_value[0] = mip_usip;
555 end
556 endcase
557 if(csr_reads)
558 write_register(decoder_rd, csr_output_value);
559 end
560 end
561 endcase
562 end
563 """
564 def __init__(self):
565 self.clk = ClockSignal()
566 self.reset = ResetSignal()
567 self.tty_write = Signal()
568 self.tty_write_data = Signal(8)
569 self.tty_write_busy = Signal()
570 self.switch_2 = Signal()
571 self.switch_3 = Signal()
572 self.led_1 = Signal()
573 self.led_3 = Signal()
574
575 ram_size = Constant(0x8000)
576 ram_start = Constant(0x10000, 32)
577 reset_vector = Signal(32)
578 mtvec = Signal(32)
579
580 reset_vector.eq(ram_start)
581 mtvec.eq(ram_start + 0x40)
582
583 l = []
584 for i in range(31):
585 r = Signal(32, name="register%d" % i)
586 l.append(r)
587 self.sync += r.eq(Constant(0, 32))
588 self.registers = Array(l)
589
590 mi = MemoryInterface()
591
592 mii = Instance("cpu_memory_interface", name="memory_instance",
593 p_ram_size = ram_size,
594 p_ram_start = ram_start,
595 i_clk=ClockSignal(),
596 i_rst=ResetSignal(),
597 i_fetch_address = mi.fetch_address,
598 o_fetch_data = mi.fetch_data,
599 o_fetch_valid = mi.fetch_valid,
600 i_rw_address = mi.rw_address,
601 i_rw_byte_mask = mi.rw_byte_mask,
602 i_rw_read_not_write = mi.rw_read_not_write,
603 i_rw_active = mi.rw_active,
604 i_rw_data_in = mi.rw_data_in,
605 o_rw_data_out = mi.rw_data_out,
606 o_rw_address_valid = mi.rw_address_valid,
607 o_rw_wait = mi.rw_wait,
608 o_tty_write = self.tty_write,
609 o_tty_write_data = self.tty_write_data,
610 i_tty_write_busy = self.tty_write_busy,
611 i_switch_2 = self.switch_2,
612 i_switch_3 = self.switch_3,
613 o_led_1 = self.led_1,
614 o_led_3 = self.led_3
615 )
616 self.specials += mii
617
618 ft = Fetch(self.comb, self.sync)
619
620 fs = Instance("CPUFetchStage", name="fetch_stage",
621 i_clk=ClockSignal(),
622 i_rst=ResetSignal(),
623 o_memory_interface_fetch_address = mi.fetch_address,
624 i_memory_interface_fetch_data = mi.fetch_data,
625 i_memory_interface_fetch_valid = mi.fetch_valid,
626 i_fetch_action = ft.action,
627 i_target_pc = ft.target_pc,
628 o_output_pc = ft.output_pc,
629 o_output_instruction = ft.output_instruction,
630 o_output_state = ft.output_state,
631 i_reset_vector = reset_vector,
632 i_mtvec = mtvec,
633 )
634 self.specials += fs
635
636 dc = Decoder()
637
638 cd = Instance("CPUDecoder", name="decoder",
639 i_instruction = ft.output_instruction,
640 o_funct7 = dc.funct7,
641 o_funct3 = dc.funct3,
642 o_rd = dc.rd,
643 o_rs1 = dc.rs1,
644 o_rs2 = dc.rs2,
645 o_immediate = dc.immediate,
646 o_opcode = dc.opcode,
647 o_decode_action = dc.act
648 )
649 self.specials += cd
650
651 register_rs1 = Signal(32)
652 register_rs2 = Signal(32)
653 self.comb += If(dc.rs1 == 0,
654 register_rs1.eq(0)
655 ).Else(
656 register_rs1.eq(self.registers[dc.rs1-1]))
657 self.comb += If(dc.rs2 == 0,
658 register_rs2.eq(0)
659 ).Else(
660 register_rs2.eq(self.registers[dc.rs2-1]))
661
662 load_store_address = Signal(32)
663 load_store_address_low_2 = Signal(2)
664
665 self.comb += load_store_address.eq(dc.immediate + register_rs1)
666 self.comb += load_store_address_low_2.eq(
667 dc.immediate[:2] + register_rs1[:2])
668
669 load_store_misaligned = Signal()
670
671 lsa = self.get_ls_misaligned(load_store_misaligned, dc.funct3,
672 load_store_address_low_2)
673 self.comb += lsa
674
675 # XXX rwaddr not 31:2 any more
676 self.comb += mi.rw_address.eq(load_store_address[2:])
677
678 unshifted_load_store_byte_mask = Signal(4)
679
680 self.comb += unshifted_load_store_byte_mask.eq(self.get_lsbm(dc))
681
682 # XXX yuck. this will cause migen simulation to fail
683 # (however conversion to verilog works)
684 self.comb += mi.rw_byte_mask.eq(
685 _Operator("<<", [unshifted_load_store_byte_mask,
686 load_store_address_low_2]))
687
688 # XXX not obvious
689 b3 = Mux(load_store_address_low_2[1],
690 Mux(load_store_address_low_2[0], register_rs2[0:8],
691 register_rs2[8:16]),
692 Mux(load_store_address_low_2[0], register_rs2[16:24],
693 register_rs2[24:32]))
694 b2 = Mux(load_store_address_low_2[1], register_rs2[0:8],
695 register_rs2[16:24])
696 b1 = Mux(load_store_address_low_2[0], register_rs2[0:8],
697 register_rs2[8:16])
698 b0 = register_rs2[0:8]
699
700 self.comb += mi.rw_data_in.eq(Cat(b0, b1, b2, b3))
701
702 # XXX not obvious
703 unmasked_loaded_value = Signal(32)
704
705 b0 = Mux(load_store_address_low_2[1],
706 Mux(load_store_address_low_2[0], mi.rw_data_out[24:32],
707 mi.rw_data_out[16:24]),
708 Mux(load_store_address_low_2[0], mi.rw_data_out[15:8],
709 mi.rw_data_out[0:8]))
710 b1 = Mux(load_store_address_low_2[1], mi.rw_data_out[24:31],
711 mi.rw_data_out[8:16])
712 b23 = mi.rw_data_out[16:32]
713
714 self.comb += unmasked_loaded_value.eq(Cat(b0, b1, b23))
715
716 # XXX not obvious
717 loaded_value = Signal(32)
718
719 b0 = unmasked_loaded_value[0:8]
720 b1 = Mux(dc.funct3[0:2] == 0,
721 Replicate(~dc.funct3[2] & unmasked_loaded_value[7], 8),
722 unmasked_loaded_value[8:16])
723 b2 = Mux(dc.funct3[1] == 0,
724 Replicate(~dc.funct3[2] &
725 Mux(dc.funct3[0], unmasked_loaded_value[15],
726 unmasked_loaded_value[7]),
727 16),
728 unmasked_loaded_value[16:32])
729
730 self.comb += loaded_value.eq(Cat(b0, b1, b2))
731
732 self.comb += mi.rw_active.eq(~self.reset
733 & (ft.output_state == FOS.valid)
734 & ~load_store_misaligned
735 & ((dc.act & (DA.load | DA.store)) != 0))
736
737 self.comb += mi.rw_read_not_write.eq(~dc.opcode[5])
738
739 # alu
740 alu_a = Signal(32)
741 alu_b = Signal(32)
742 alu_result = Signal(32)
743
744 self.comb += alu_a.eq(register_rs1)
745 self.comb += alu_b.eq(Mux(dc.opcode[5],
746 register_rs2,
747 dc.immediate))
748
749 ali = Instance("cpu_alu", name="alu",
750 i_funct7 = dc.funct7,
751 i_funct3 = dc.funct3,
752 i_opcode = dc.opcode,
753 i_a = alu_a,
754 i_b = alu_b,
755 o_result = alu_result
756 )
757 self.specials += ali
758
759 lui_auipc_result = Signal(32)
760 self.comb += lui_auipc_result.eq(Mux(dc.opcode[5],
761 dc.immediate,
762 dc.immediate + ft.output_pc))
763
764 self.comb += ft.target_pc.eq(Cat(0,
765 Mux(dc.opcode != OP.jalr,
766 ft.output_pc[1:32],
767 register_rs1[1:32] + dc.immediate[1:32])))
768
769 misaligned_jump_target = Signal()
770 self.comb += misaligned_jump_target.eq(ft.target_pc[1])
771
772 branch_arg_a = Signal(32)
773 branch_arg_b = Signal(32)
774 self.comb += branch_arg_a.eq(Cat( register_rs1[0:31],
775 register_rs1[31] ^ ~dc.funct3[1]))
776 self.comb += branch_arg_b.eq(Cat( register_rs2[0:31],
777 register_rs2[31] ^ ~dc.funct3[1]))
778
779 branch_taken = Signal()
780 self.comb += branch_taken.eq(dc.funct3[0] ^
781 Mux(dc.funct3[2],
782 branch_arg_a < branch_arg_b,
783 branch_arg_a == branch_arg_b))
784
785 m = M(self.comb, self.sync)
786 mstatus = MStatus(self.comb, self.sync)
787 mie = MIE(self.comb, self.sync)
788
789 misa = Misa(self.comb, self.sync)
790
791 mvendorid = Signal(32)
792 marchid = Signal(32)
793 mimpid = Signal(32)
794 mhartid = Signal(32)
795 self.comb += mvendorid.eq(Constant(0, 32))
796 self.comb += marchid.eq(Constant(0, 32))
797 self.comb += mimpid.eq(Constant(0, 32))
798 self.comb += mhartid.eq(Constant(0, 32))
799
800 mip = MIP(self.comb, self.sync)
801
802 # CSR decoding
803 csr = CSR(self.comb, self.sync, dc, register_rs1)
804
805 self.comb += ft.get_fetch_action(dc, load_store_misaligned, mi,
806 branch_taken, misaligned_jump_target,
807 csr.op_is_valid)
808
809 # TODO
810 cycle_counter = Signal(64); # TODO: implement cycle_counter
811 time_counter = Signal(64); # TODO: implement time_counter
812 instret_counter = Signal(64); # TODO: implement instret_counter
813
814 self.sync += If(~self.reset,
815 self.main_block(csr, mi, m, mstatus, ft, dc,
816 load_store_misaligned,
817 loaded_value,
818 alu_result,
819 lui_auipc_result)
820 )
821
822 if __name__ == "__main__":
823 example = CPU()
824 print(verilog.convert(example,
825 {
826 example.tty_write,
827 example.tty_write_data,
828 example.tty_write_busy,
829 example.switch_2,
830 example.switch_3,
831 example.led_1,
832 example.led_3,
833 }))
834
835 """
836
837 always @(posedge clk) begin:main_block
838 if(reset) begin
839 reset_to_initial();
840 disable main_block;
841 end
842 case(fetch_output_state)
843 `fetch_output_state_empty: begin
844 end
845 `fetch_output_state_trap: begin
846 handle_trap();
847 end
848 `fetch_output_state_valid: begin:valid
849 if((fetch_action == `fetch_action_error_trap) | (fetch_action == `fetch_action_noerror_trap)) begin
850 handle_trap();
851 end
852 else if((decode_action & `decode_action_load) != 0) begin
853 if(~memory_interface_rw_wait)
854 write_register(decoder_rd, loaded_value);
855 end
856 else if((decode_action & `decode_action_op_op_imm) != 0) begin
857 write_register(decoder_rd, alu_result);
858 end
859 else if((decode_action & `decode_action_lui_auipc) != 0) begin
860 write_register(decoder_rd, lui_auipc_result);
861 end
862 else if((decode_action & (`decode_action_jal | `decode_action_jalr)) != 0) begin
863 write_register(decoder_rd, fetch_output_pc + 4);
864 end
865 else if((decode_action & `decode_action_csr) != 0) begin:csr
866 reg [31:0] csr_output_value;
867 reg [31:0] csr_written_value;
868 csr_output_value = 32'hXXXXXXXX;
869 csr_written_value = 32'hXXXXXXXX;
870 case(csr_number)
871 `csr_cycle: begin
872 csr_output_value = cycle_counter[31:0];
873 end
874 `csr_time: begin
875 csr_output_value = time_counter[31:0];
876 end
877 `csr_instret: begin
878 csr_output_value = instret_counter[31:0];
879 end
880 `csr_cycleh: begin
881 csr_output_value = cycle_counter[63:32];
882 end
883 `csr_timeh: begin
884 csr_output_value = time_counter[63:32];
885 end
886 `csr_instreth: begin
887 csr_output_value = instret_counter[63:32];
888 end
889 `csr_mvendorid: begin
890 csr_output_value = mvendorid;
891 end
892 `csr_marchid: begin
893 csr_output_value = marchid;
894 end
895 `csr_mimpid: begin
896 csr_output_value = mimpid;
897 end
898 `csr_mhartid: begin
899 csr_output_value = mhartid;
900 end
901 `csr_misa: begin
902 csr_output_value = misa;
903 end
904 `csr_mstatus: begin
905 csr_output_value = make_mstatus(mstatus_tsr,
906 mstatus_tw,
907 mstatus_tvm,
908 mstatus_mxr,
909 mstatus_sum,
910 mstatus_mprv,
911 mstatus_xs,
912 mstatus_fs,
913 mstatus_mpp,
914 mstatus_spp,
915 mstatus_mpie,
916 mstatus_spie,
917 mstatus_upie,
918 mstatus_mie,
919 mstatus_sie,
920 mstatus_uie);
921 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
922 if(csr_writes) begin
923 mstatus_mpie = csr_written_value[7];
924 mstatus_mie = csr_written_value[3];
925 end
926 end
927 `csr_mie: begin
928 csr_output_value = 0;
929 csr_output_value[11] = mie_meie;
930 csr_output_value[9] = mie_seie;
931 csr_output_value[8] = mie_ueie;
932 csr_output_value[7] = mie_mtie;
933 csr_output_value[5] = mie_stie;
934 csr_output_value[4] = mie_utie;
935 csr_output_value[3] = mie_msie;
936 csr_output_value[1] = mie_ssie;
937 csr_output_value[0] = mie_usie;
938 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
939 if(csr_writes) begin
940 mie_meie = csr_written_value[11];
941 mie_mtie = csr_written_value[7];
942 mie_msie = csr_written_value[3];
943 end
944 end
945 `csr_mtvec: begin
946 csr_output_value = mtvec;
947 end
948 `csr_mscratch: begin
949 csr_output_value = mscratch;
950 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
951 if(csr_writes)
952 mscratch = csr_written_value;
953 end
954 `csr_mepc: begin
955 csr_output_value = mepc;
956 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
957 if(csr_writes)
958 mepc = csr_written_value;
959 end
960 `csr_mcause: begin
961 csr_output_value = mcause;
962 csr_written_value = evaluate_csr_funct3_operation(decoder_funct3, csr_output_value, csr_input_value);
963 if(csr_writes)
964 mcause = csr_written_value;
965 end
966 `csr_mip: begin
967 csr_output_value = 0;
968 csr_output_value[11] = mip_meip;
969 csr_output_value[9] = mip_seip;
970 csr_output_value[8] = mip_ueip;
971 csr_output_value[7] = mip_mtip;
972 csr_output_value[5] = mip_stip;
973 csr_output_value[4] = mip_utip;
974 csr_output_value[3] = mip_msip;
975 csr_output_value[1] = mip_ssip;
976 csr_output_value[0] = mip_usip;
977 end
978 endcase
979 if(csr_reads)
980 write_register(decoder_rd, csr_output_value);
981 end
982 else if((decode_action & (`decode_action_fence | `decode_action_fence_i | `decode_action_store | `decode_action_branch)) != 0) begin
983 // do nothing
984 end
985 end
986 endcase
987 end
988
989 endmodule
990 """
991