shiftregs: Use SyncResetSynchronizerShiftReg primitives where appropriate synchronizers
authorMegan Wachs <megan@sifive.com>
Wed, 6 Sep 2017 17:59:07 +0000 (10:59 -0700)
committerMegan Wachs <megan@sifive.com>
Wed, 6 Sep 2017 17:59:07 +0000 (10:59 -0700)
src/main/scala/devices/i2c/I2CPins.scala
src/main/scala/devices/spi/SPIPhysical.scala
src/main/scala/devices/uart/UARTPeriphery.scala

index 9bbc57605dd2ca6976912328659d99192ba5cf61..6bf40aedd5526975ebfd5fe7ecb186b643927c09 100644 (file)
@@ -3,7 +3,7 @@ package sifive.blocks.devices.i2c
 
 import Chisel._
 import chisel3.experimental.{withClockAndReset}
-import freechips.rocketchip.util.SynchronizerShiftRegInit
+import freechips.rocketchip.util.SyncResetSynchronizerShiftReg
 import sifive.blocks.devices.pinctrl.{Pin, PinCtrl}
 
 class I2CPins[T <: Pin](pingen: () => T) extends Bundle {
@@ -18,12 +18,12 @@ class I2CPins[T <: Pin](pingen: () => T) extends Bundle {
     withClockAndReset(clock, reset) {
       scl.outputPin(i2c.scl.out, pue=true.B, ie = true.B)
       scl.o.oe := i2c.scl.oe
-      i2c.scl.in := SynchronizerShiftRegInit(scl.i.ival, syncStages, init = Bool(true),
+      i2c.scl.in := SyncResetSynchronizerShiftReg(scl.i.ival, syncStages, init = Bool(true),
         name = Some("i2c_scl_sync"))
 
       sda.outputPin(i2c.sda.out, pue=true.B, ie = true.B)
       sda.o.oe := i2c.sda.oe
-      i2c.sda.in := SynchronizerShiftRegInit(sda.i.ival, syncStages, init = Bool(true),
+      i2c.sda.in := SyncResetSynchronizerShiftReg(sda.i.ival, syncStages, init = Bool(true),
         name = Some("i2c_sda_sync"))
     }
   }
index 25ad882681e73e42b09a10b7f8a117a942dcce35..0336aef8d06b531d055e8e4c9b321f3bc75995d9 100644 (file)
@@ -2,7 +2,7 @@
 package sifive.blocks.devices.spi
 
 import Chisel._
-import freechipchips.rocketchip.util.ShiftRegInit
+import freechips.rocketchip.util.ShiftRegInit
 
 class SPIMicroOp(c: SPIParamsBase) extends SPIBundle(c) {
   val fn = Bits(width = 1)
index 01ae55cd9ea18d47401e71ab0c6a94e3b3bd1c48..f29716c8a350f80fe1b23b11b9ef5b76557c46fa 100644 (file)
@@ -4,7 +4,7 @@ package sifive.blocks.devices.uart
 import Chisel._
 import chisel3.experimental.{withClockAndReset}
 import freechips.rocketchip.config.Field
-import freechips.rocketchip.util.SynchronizerShiftRegInit
+import freechips.rocketchip.util.SyncResetSynchronizerShiftReg
 import freechips.rocketchip.coreplex.{HasPeripheryBus, PeripheryBusParams, HasInterruptBus}
 import freechips.rocketchip.diplomacy.{LazyModule, LazyMultiIOModuleImp}
 import sifive.blocks.devices.pinctrl.{Pin}
@@ -51,7 +51,7 @@ class UARTPins[T <: Pin] (pingen: () => T) extends Bundle {
     withClockAndReset(clock, reset) {
       txd.outputPin(uart.txd)
       val rxd_t = rxd.inputPin()
-      uart.rxd := SynchronizerShiftRegInit(rxd_t, n = syncStages, init = Bool(true), name = Some("uart_rxd_sync"))
+      uart.rxd := SyncResetSynchronizerShiftReg(rxd_t, syncStages, init = Bool(true), name = Some("uart_rxd_sync"))
     }
   }
 }