terapage lookup
[soc.git] / src / TLB / ariane / tlb_content.py
1 from nmigen import Signal, Module, Cat, Const, Elaboratable
2
3 from TLB.ariane.ptw import TLBUpdate, PTE
4
5
6 class TLBEntry:
7 def __init__(self, asid_width):
8 self.asid = Signal(asid_width,name="ent_asid")
9 # SV48 defines four levels of page tables
10 self.vpn0 = Signal(9,name="ent_vpn0")
11 self.vpn1 = Signal(9,name="ent_vpn1")
12 self.vpn2 = Signal(9,name="ent_vpn2")
13 self.vpn3 = Signal(9,name="ent_vpn3")
14 self.is_2M = Signal(name="ent_is_2M")
15 self.is_1G = Signal(name="ent_is_1G")
16 self.is_512G = Signal(name="ent_is_512G")
17 self.valid = Signal(name="ent_valid")
18
19 def flatten(self):
20 return Cat(*self.ports())
21
22 def eq(self, x):
23 return self.flatten().eq(x.flatten())
24
25 def ports(self):
26 return [self.asid, self.vpn0, self.vpn1, self.vpn2,
27 self.is_2M, self.is_1G, self.valid]
28
29
30 class TLBContent(Elaboratable):
31 def __init__(self, pte_width, asid_width):
32 self.asid_width = asid_width
33 self.pte_width = pte_width
34 self.flush_i = Signal() # Flush signal
35 # Update TLB
36 self.update_i = TLBUpdate(asid_width)
37 self.vpn3 = Signal(9)
38 self.vpn2 = Signal(9)
39 self.vpn1 = Signal(9)
40 self.vpn0 = Signal(9)
41 self.replace_en_i = Signal() # replace the following entry,
42 # set by replacement strategy
43 # Lookup signals
44 self.lu_asid_i = Signal(asid_width)
45 self.lu_content_o = Signal(pte_width)
46 self.lu_is_512G_o = Signal()
47 self.lu_is_2M_o = Signal()
48 self.lu_is_1G_o = Signal()
49 self.lu_hit_o = Signal()
50
51 def elaborate(self, platform):
52 m = Module()
53
54 tags = TLBEntry(self.asid_width)
55
56
57 content = Signal(self.pte_width)
58
59 m.d.comb += [self.lu_hit_o.eq(0),
60 self.lu_is_512G_o.eq(0),
61 self.lu_is_2M_o.eq(0),
62 self.lu_is_1G_o.eq(0)]
63
64 # temporaries for all levels
65 asid_ok = Signal(reset_less=True)
66 tags_ok = Signal(reset_less=True)
67
68 vpn3_ok = Signal(reset_less=True)
69 vpn2_ok = Signal(reset_less=True)
70
71
72 m.d.comb += [tags_ok.eq(tags.valid),
73 asid_ok.eq(tags.asid == self.lu_asid_i),
74 vpn2_ok.eq(tags.vpn2 == self.vpn2),
75 vpn3_ok.eq(tags.vpn3 == self.vpn3),
76 ]
77 # temporaries for 2nd level match
78 vpn1_ok = Signal(reset_less=True)
79 tags_2M = Signal(reset_less=True)
80 vpn0_ok = Signal(reset_less=True)
81 vpn0_or_2M = Signal(reset_less=True)
82 m.d.comb += [vpn1_ok.eq(self.vpn1 == tags.vpn1),
83 tags_2M.eq(tags.is_2M),
84 vpn0_ok.eq(self.vpn0 == tags.vpn0),
85 vpn0_or_2M.eq(tags_2M | vpn0_ok)]
86
87
88 with m.If(asid_ok & tags_ok):
89 # first level, only vpn3 needs to match
90 with m.If (tags.is_512G & vpn3_ok):
91 m.d.comb += [ self.lu_content_o.eq(content),
92 self.lu_is_512G_o.eq(1),
93 self.lu_hit_o.eq(1),
94 ]
95 # second level
96 with m.Elif (tags.is_1G & vpn2_ok):
97 m.d.comb += [ self.lu_content_o.eq(content),
98 self.lu_is_1G_o.eq(1),
99 self.lu_hit_o.eq(1),
100 ]
101 # not a giga page hit so check further
102 with m.Elif(vpn1_ok):
103 # this could be a 2 mega page hit or a 4 kB hit
104 # output accordingly
105 with m.If(vpn0_or_2M):
106 m.d.comb += [ self.lu_content_o.eq(content),
107 self.lu_is_2M_o.eq(tags.is_2M),
108 self.lu_hit_o.eq(1),
109 ]
110 # ------------------
111 # Update or Flush
112 # ------------------
113
114 # temporaries
115 replace_valid = Signal(reset_less=True)
116 m.d.comb += replace_valid.eq(self.update_i.valid & self.replace_en_i)
117
118 # flush
119 with m.If (self.flush_i):
120 # invalidate (flush) conditions: all if zero or just this ASID
121 with m.If (self.lu_asid_i == Const(0, self.asid_width) |
122 (self.lu_asid_i == tags.asid)):
123 m.d.sync += tags.valid.eq(0)
124
125 # normal replacement
126 with m.Elif(replace_valid):
127 m.d.sync += [ # update tag array
128 tags.asid.eq(self.update_i.asid),
129 tags.vpn3.eq(self.update_i.vpn[27:36]),
130 tags.vpn2.eq(self.update_i.vpn[18:27]),
131 tags.vpn1.eq(self.update_i.vpn[9:18]),
132 tags.vpn0.eq(self.update_i.vpn[0:9]),
133 tags.is_512G.eq(self.update_i.is_512G),
134 tags.is_1G.eq(self.update_i.is_1G),
135 tags.is_2M.eq(self.update_i.is_2M),
136 tags.valid.eq(1),
137 # and content as well
138 content.eq(self.update_i.content.flatten())
139 ]
140 return m
141
142 def ports(self):
143 return [self.flush_i,
144 self.lu_asid_i,
145 self.lu_is_2M_o, self.lu_is_1G_o,self.lu_is_512G_o, self.lu_hit_o,
146 ] + self.update_i.content.ports() + self.update_i.ports()